SlideShare una empresa de Scribd logo
1 de 24
11/22/2023 ASIC Design Flow 1
ASIC Design Flow
Prof Usha Mehta
Professor and PG Coordinator (VLSI Design)
Institute of Technology, Nirma University, Ahmedabad
usha.mehta@nirmauni.ac.in
11/22/2023 ASIC Design Flow 2
Acknowledgement….
• This presentation has been summarized from various
books, papers, websites and presentations related to
the topic all over the world. I couldn’t remember where
these large pull of hints and work come from. However,
I’d like to thank all professors and scientists who
created such a good work on this emerging field.
Without those efforts in this very emerging technology,
these notes and slides can’t be finished.
11/22/2023 ASIC Design Flow 3
Basic
ASIC Design Flow
11/22/2023 ASIC Design Flow 4
11/22/2023 ASIC Design Flow 5
• The above basic design flow is somehow over simplified
in the sense that it only connects the design tasks in one
direction.
• Interactions between different stages, especially the
influences of later stages on earlier ones, are not shown.
• In reality, a design cannot be done in such a singular
path.
– If the timing analysis finds that the delay of the critical
path is longer than that required by a clock period, we
have to go back to the physical design to modify the
layout.
– If the problem cannot be resolved inside the physical
design stage we then have to go back further to the
circuit design to add buffers.
– If we cannot resolve the problem through the
modification of physical or circuit design, we would have
to go back another stage to change the architecture
design.
11/22/2023 ASIC Design Flow 6
• Most interference between different design stages is
actually due to system performance requirements and
variable remitting solutions at different stages.
– As a consequence, we need to have loops in the
design flow.
• In general we want to go backward as little as
possible.
– A subsequent problem is that we might be end up
cycling through these stages endlessly.
– This issue is called timing closure
11/22/2023 ASIC Design Flow 7
Timing Closure
11/22/2023 ASIC Design Flow 8
Verification
and Testing
11/22/2023 ASIC Design Flow 9
System Specification
• A real ASIC design practice starts from understanding
the application and writing a clear and complete
specification in terms of VLSI terminology, such as
input/output signals, clock rate, protocol definition,
operation mode and etc.
• Writing a specification for an ASIC project includes
– Understanding the application
– Communicating with customer for whom ASIC chip
is designed
– Converting application terminology into circuit
design terminology
– Defining system settings
– Listing the performance criteria, and
– Selecting the process
11/22/2023 ASIC Design Flow 10
Architectural Design
• Architecture design
• Architecture probably plays the most important role in
determining the quality of a designed chip.
• Experience in IC design and insight into the
application contribute to the architecture choice.
• Currently, architecture design still depends on the wisdom
of the designer instead of on CAD tools, since there are too
many factors involved.
• Unfortunately the influence of different factors usually
conflicts with each other.
• Decisions on the architecture, e.g., RISC/CISC, # of
ALU’s, pipeline structure, cache size, etc. Such
decisions can provide an accurate estimation of the
system performance, die size, power consumption, etc.
11/22/2023 ASIC Design Flow 11
Functional/Behavioural Design
• Identify main functional units and their
interconnections. No details of implementation.
• Functional design is generally done with HDL
11/22/2023 ASIC Design Flow 12
Register Transfer Level
+
+
0010
0001
0100
0011
• Components, data types
• Design the logic, e.g., Boolean expressions,
control flow, word width, register
allocation, etc.
• RTL is expressed in a HDL mostly
synthesized from behavioral description
11/22/2023 ASIC Design Flow 13
Logic Level
• Discrete Level, Discrete Time
• Design the circuit including gates, transistors,
interconnections, etc. The outcome is called a netlist.
• Homework
ISCAS Gate level
Netlist
11/22/2023 ASIC Design Flow 14
Circuit level
• Continuous Voltage, Continuous time
11/22/2023 ASIC Design Flow 15
Layout
• Convert the netlist into a geometric representation. The
outcome is called a layout.
11/22/2023 ASIC Design Flow 16
Few more words…
• Circuit Partitioning – Partition a large circuit into sub-
circuits (called blocks). Factors like #blocks, block
sizes, interconnection between blocks, etc., are
considered.
• Floorplanning – Set up a plan for a good layout. Place
the modules (modules can be blocks, functional units,
etc.) at an early stage when details like shape, area,
I/O pin positions of the modules, …, are not yet fixed.
• Placement – Exact placement of the modules (modules
can be gates, standard cells, etc.) when details of the
module design are known. The goal is to minimize the
delay, total area and interconnect cost.
11/22/2023 ASIC Design Flow 17
• Routing – Complete the interconnections between
modules. Factors like critical path, clock skew, wire
spacing, etc., are considered. Include global routing
and detailed routing.
• Compaction – Compress the layout from all directions
to minimize the total chip area.
• Verification – Check the correctness of the layout.
Include DRC (Design Rule Checking), circuit extraction
(generate a circuit from the layout to compare with the
original netlist), performance verification (extract
geometric information to compute resistance,
capacitance, delay, etc.)
11/22/2023 ASIC Design Flow 18
Cont….
• Logic Synthesizer
• Translation from RTL specification to netlist
• Adequate for the design that do not have critical
performance parameter
• Provides room to make design improvement
• Understanding of device architecture is necessary
• Gate Level Simulation
• To ensure correctness of synthesis translation
• Vendor supplied parameters are used to simulate the
actual target device parameters
11/22/2023 ASIC Design Flow 19
Cont…
• Extraction
• Actual resistance and capacitance figures modelled for
interconnections are extracted to simulate timing
performance
• Post Layout Simulation
• Functionality taking care of timings
• Time extraction imported
• Both gate and interconnection delays are considered
• Back Annotation
• To update the initial circuit data with information that
was obtained later in the design cycle
• Passing the information related to the extra load that
may occur in practice
11/22/2023 ASIC Design Flow 20
Cont…
• Extended Testing
• For ASIC, GA, SoG.
• Not for FPGA
• Device Programming
• Via JTAG port
• For FPGA
11/22/2023 ASIC Design Flow 21
VLSI Design Tools
• By Chip vendor
• By third party
• Cadence
• Mentor Graphics
• Synopsis
• Microwind
11/22/2023 ASIC Design Flow 22
11/22/2023 ASIC Design Flow 23
Coverage of my area….
• ASIC
• Full Custom
• CMOS Design
• Simulation
• Layout
• SemiCustom
• Verification
• Gate Array
• Std. Cell
• DFT
• STA
• FP-Floor Plan
• CTC – Clock Tree
Synthesis
• FPGA
• HDL Entry
• Place and Route
11/22/2023 ASIC Design Flow 24
Thank you!

Más contenido relacionado

La actualidad más candente

Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verificationUsha Mehta
 
Static Timing Analysis
Static Timing AnalysisStatic Timing Analysis
Static Timing Analysisshobhan pujari
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and designSatya Harish
 
Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI NIT Raipur
 
Digital VLSI Design : Combinational Circuit
Digital VLSI Design : Combinational CircuitDigital VLSI Design : Combinational Circuit
Digital VLSI Design : Combinational CircuitUsha Mehta
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEVLSIUNIVERSE
 
10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysisUsha Mehta
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introductionUsha Mehta
 
Design your career in VLSI
Design your career in VLSIDesign your career in VLSI
Design your career in VLSIM. Raja Reddy
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptmonzhalabs
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designUsha Mehta
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_staUsha Mehta
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designUsha Mehta
 

La actualidad más candente (20)

Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
Static Timing Analysis
Static Timing AnalysisStatic Timing Analysis
Static Timing Analysis
 
ASIC vs SOC vs FPGA
ASIC  vs SOC  vs FPGAASIC  vs SOC  vs FPGA
ASIC vs SOC vs FPGA
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and design
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI
 
Digital VLSI Design : Combinational Circuit
Digital VLSI Design : Combinational CircuitDigital VLSI Design : Combinational Circuit
Digital VLSI Design : Combinational Circuit
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 
10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction
 
Design your career in VLSI
Design your career in VLSIDesign your career in VLSI
Design your career in VLSI
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi design
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_design
 
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
 

Similar a 2_DVD_ASIC_Design_FLow.pdf

Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flowravi4all
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptRichikDey5
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitSWINDONSilicon
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
13257474.ppt-it-networking-gdfgdfgdfgdbd
13257474.ppt-it-networking-gdfgdfgdfgdbd13257474.ppt-it-networking-gdfgdfgdfgdbd
13257474.ppt-it-networking-gdfgdfgdfgdbdssuser38ba4b
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptindrajeetPatel22
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signallingKrishna Kumar
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notesDr.YNM
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdfAcademicICECE
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_designHung Nguyen
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01khalid noman husainy
 
Industrial training report of vlsi,vhdl and pcb designing
Industrial training report of vlsi,vhdl and pcb designingIndustrial training report of vlsi,vhdl and pcb designing
Industrial training report of vlsi,vhdl and pcb designingPallavi Bharti
 

Similar a 2_DVD_ASIC_Design_FLow.pdf (20)

Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flow
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.ppt
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
Hierarchical design and design abstraction
Hierarchical design and design abstractionHierarchical design and design abstraction
Hierarchical design and design abstraction
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
13257474.ppt-it-networking-gdfgdfgdfgdbd
13257474.ppt-it-networking-gdfgdfgdfgdbd13257474.ppt-it-networking-gdfgdfgdfgdbd
13257474.ppt-it-networking-gdfgdfgdfgdbd
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
VLSI design flow.pptx
VLSI design flow.pptxVLSI design flow.pptx
VLSI design flow.pptx
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signalling
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
 
VLSI GDI Technology
VLSI GDI TechnologyVLSI GDI Technology
VLSI GDI Technology
 
System on Chip (SoC)
System on Chip (SoC)System on Chip (SoC)
System on Chip (SoC)
 
Industrial training report of vlsi,vhdl and pcb designing
Industrial training report of vlsi,vhdl and pcb designingIndustrial training report of vlsi,vhdl and pcb designing
Industrial training report of vlsi,vhdl and pcb designing
 

Más de Usha Mehta

Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysUsha Mehta
 
5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdfUsha Mehta
 
13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdfUsha Mehta
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfUsha Mehta
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossingUsha Mehta
 
9 semiconductor memory
9 semiconductor memory9 semiconductor memory
9 semiconductor memoryUsha Mehta
 
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedyUsha Mehta
 
12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_designUsha Mehta
 
11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_designUsha Mehta
 
6 verification tools
6 verification tools6 verification tools
6 verification toolsUsha Mehta
 
4 verification flow_planning
4 verification flow_planning4 verification flow_planning
4 verification flow_planningUsha Mehta
 
3 test economic_test_equipments_yield
3 test economic_test_equipments_yield3 test economic_test_equipments_yield
3 test economic_test_equipments_yieldUsha Mehta
 
2 when to_test_role_of_testing
2 when to_test_role_of_testing2 when to_test_role_of_testing
2 when to_test_role_of_testingUsha Mehta
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehtaUsha Mehta
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignUsha Mehta
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Usha Mehta
 
Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)Usha Mehta
 

Más de Usha Mehta (19)

Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate Arrays
 
5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf
 
13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing
 
9 semiconductor memory
9 semiconductor memory9 semiconductor memory
9 semiconductor memory
 
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
 
12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design
 
11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design
 
6 verification tools
6 verification tools6 verification tools
6 verification tools
 
4 verification flow_planning
4 verification flow_planning4 verification flow_planning
4 verification flow_planning
 
3 test economic_test_equipments_yield
3 test economic_test_equipments_yield3 test economic_test_equipments_yield
3 test economic_test_equipments_yield
 
2 when to_test_role_of_testing
2 when to_test_role_of_testing2 when to_test_role_of_testing
2 when to_test_role_of_testing
 
1 why to_test
1 why to_test1 why to_test
1 why to_test
 
1 why to_test
1 why to_test1 why to_test
1 why to_test
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI Design
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)
 
Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)
 

Último

Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptDineshKumar4165
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Call Girls in Nagpur High Profile
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdfankushspencer015
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdfKamal Acharya
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfRagavanV2
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
Vivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design SpainVivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design Spaintimesproduction05
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxfenichawla
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . pptDineshKumar4165
 

Último (20)

Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Vivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design SpainVivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design Spain
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 

2_DVD_ASIC_Design_FLow.pdf

  • 1. 11/22/2023 ASIC Design Flow 1 ASIC Design Flow Prof Usha Mehta Professor and PG Coordinator (VLSI Design) Institute of Technology, Nirma University, Ahmedabad usha.mehta@nirmauni.ac.in
  • 2. 11/22/2023 ASIC Design Flow 2 Acknowledgement…. • This presentation has been summarized from various books, papers, websites and presentations related to the topic all over the world. I couldn’t remember where these large pull of hints and work come from. However, I’d like to thank all professors and scientists who created such a good work on this emerging field. Without those efforts in this very emerging technology, these notes and slides can’t be finished.
  • 3. 11/22/2023 ASIC Design Flow 3 Basic ASIC Design Flow
  • 5. 11/22/2023 ASIC Design Flow 5 • The above basic design flow is somehow over simplified in the sense that it only connects the design tasks in one direction. • Interactions between different stages, especially the influences of later stages on earlier ones, are not shown. • In reality, a design cannot be done in such a singular path. – If the timing analysis finds that the delay of the critical path is longer than that required by a clock period, we have to go back to the physical design to modify the layout. – If the problem cannot be resolved inside the physical design stage we then have to go back further to the circuit design to add buffers. – If we cannot resolve the problem through the modification of physical or circuit design, we would have to go back another stage to change the architecture design.
  • 6. 11/22/2023 ASIC Design Flow 6 • Most interference between different design stages is actually due to system performance requirements and variable remitting solutions at different stages. – As a consequence, we need to have loops in the design flow. • In general we want to go backward as little as possible. – A subsequent problem is that we might be end up cycling through these stages endlessly. – This issue is called timing closure
  • 7. 11/22/2023 ASIC Design Flow 7 Timing Closure
  • 8. 11/22/2023 ASIC Design Flow 8 Verification and Testing
  • 9. 11/22/2023 ASIC Design Flow 9 System Specification • A real ASIC design practice starts from understanding the application and writing a clear and complete specification in terms of VLSI terminology, such as input/output signals, clock rate, protocol definition, operation mode and etc. • Writing a specification for an ASIC project includes – Understanding the application – Communicating with customer for whom ASIC chip is designed – Converting application terminology into circuit design terminology – Defining system settings – Listing the performance criteria, and – Selecting the process
  • 10. 11/22/2023 ASIC Design Flow 10 Architectural Design • Architecture design • Architecture probably plays the most important role in determining the quality of a designed chip. • Experience in IC design and insight into the application contribute to the architecture choice. • Currently, architecture design still depends on the wisdom of the designer instead of on CAD tools, since there are too many factors involved. • Unfortunately the influence of different factors usually conflicts with each other. • Decisions on the architecture, e.g., RISC/CISC, # of ALU’s, pipeline structure, cache size, etc. Such decisions can provide an accurate estimation of the system performance, die size, power consumption, etc.
  • 11. 11/22/2023 ASIC Design Flow 11 Functional/Behavioural Design • Identify main functional units and their interconnections. No details of implementation. • Functional design is generally done with HDL
  • 12. 11/22/2023 ASIC Design Flow 12 Register Transfer Level + + 0010 0001 0100 0011 • Components, data types • Design the logic, e.g., Boolean expressions, control flow, word width, register allocation, etc. • RTL is expressed in a HDL mostly synthesized from behavioral description
  • 13. 11/22/2023 ASIC Design Flow 13 Logic Level • Discrete Level, Discrete Time • Design the circuit including gates, transistors, interconnections, etc. The outcome is called a netlist. • Homework ISCAS Gate level Netlist
  • 14. 11/22/2023 ASIC Design Flow 14 Circuit level • Continuous Voltage, Continuous time
  • 15. 11/22/2023 ASIC Design Flow 15 Layout • Convert the netlist into a geometric representation. The outcome is called a layout.
  • 16. 11/22/2023 ASIC Design Flow 16 Few more words… • Circuit Partitioning – Partition a large circuit into sub- circuits (called blocks). Factors like #blocks, block sizes, interconnection between blocks, etc., are considered. • Floorplanning – Set up a plan for a good layout. Place the modules (modules can be blocks, functional units, etc.) at an early stage when details like shape, area, I/O pin positions of the modules, …, are not yet fixed. • Placement – Exact placement of the modules (modules can be gates, standard cells, etc.) when details of the module design are known. The goal is to minimize the delay, total area and interconnect cost.
  • 17. 11/22/2023 ASIC Design Flow 17 • Routing – Complete the interconnections between modules. Factors like critical path, clock skew, wire spacing, etc., are considered. Include global routing and detailed routing. • Compaction – Compress the layout from all directions to minimize the total chip area. • Verification – Check the correctness of the layout. Include DRC (Design Rule Checking), circuit extraction (generate a circuit from the layout to compare with the original netlist), performance verification (extract geometric information to compute resistance, capacitance, delay, etc.)
  • 18. 11/22/2023 ASIC Design Flow 18 Cont…. • Logic Synthesizer • Translation from RTL specification to netlist • Adequate for the design that do not have critical performance parameter • Provides room to make design improvement • Understanding of device architecture is necessary • Gate Level Simulation • To ensure correctness of synthesis translation • Vendor supplied parameters are used to simulate the actual target device parameters
  • 19. 11/22/2023 ASIC Design Flow 19 Cont… • Extraction • Actual resistance and capacitance figures modelled for interconnections are extracted to simulate timing performance • Post Layout Simulation • Functionality taking care of timings • Time extraction imported • Both gate and interconnection delays are considered • Back Annotation • To update the initial circuit data with information that was obtained later in the design cycle • Passing the information related to the extra load that may occur in practice
  • 20. 11/22/2023 ASIC Design Flow 20 Cont… • Extended Testing • For ASIC, GA, SoG. • Not for FPGA • Device Programming • Via JTAG port • For FPGA
  • 21. 11/22/2023 ASIC Design Flow 21 VLSI Design Tools • By Chip vendor • By third party • Cadence • Mentor Graphics • Synopsis • Microwind
  • 23. 11/22/2023 ASIC Design Flow 23 Coverage of my area…. • ASIC • Full Custom • CMOS Design • Simulation • Layout • SemiCustom • Verification • Gate Array • Std. Cell • DFT • STA • FP-Floor Plan • CTC – Clock Tree Synthesis • FPGA • HDL Entry • Place and Route
  • 24. 11/22/2023 ASIC Design Flow 24 Thank you!