SlideShare a Scribd company logo
1 of 45
NoC: MPSoC Communication Fabric Interconnection Networks (ELE 580) Shougata Ghosh 18 th  Apr, 2006
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
What are MPSoCs? ,[object Object],[object Object],[object Object],[object Object]
Where are MPSoCs used? ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Challenges ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Why Reinvent the wheel? ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Need multiple Processors ,[object Object],[object Object],[object Object],[object Object],[object Object]
Area concerns ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
MPSoC Architecture
Components ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Design Flow ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Platform Based Design ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Component Based Design ,[object Object],[object Object],[object Object],[object Object],[object Object]
Design Flow Schematic
Communication Fabric ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
NoC NoC NoC-ing on Heaven’s Door!! ,[object Object]
Regular NoC ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Control Bits ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Flow Control ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Input and Output Controllers
NoC Issues ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Topology ,[object Object],[object Object],[object Object],[object Object],[object Object]
Flow Control Decision ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
High Performance Circuits ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Heterogeneous NoC ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
xPipe Lite ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
NoC Architecture Block Diagram
xPipes Lite ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
xPipes Lite – Network Interface ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
NI ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Network Interface ,[object Object],[object Object],[object Object],[object Object]
Switch Architecture ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Switch ,[object Object],[object Object],[object Object],[object Object],[object Object]
Switch flow control ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
xPipes Lite - Links ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
xPipes Lite Design Flow
IBM CoreConnect
CoreConnect Bus Architecture ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Performance Features
CoreConnect Components ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
PLB
Processor Local Bus ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Processor Local Bus (cont’d.)

More Related Content

What's hot

Advance hdl design training on xilinx fpga
Advance hdl design training on xilinx fpgaAdvance hdl design training on xilinx fpga
Advance hdl design training on xilinx fpga
demon_2M
 
FPGA in outer space seminar report
FPGA in outer space seminar reportFPGA in outer space seminar report
FPGA in outer space seminar report
rahul kumar verma
 
TRACK D: A breakthrough in logic design drastically improving performances fr...
TRACK D: A breakthrough in logic design drastically improving performances fr...TRACK D: A breakthrough in logic design drastically improving performances fr...
TRACK D: A breakthrough in logic design drastically improving performances fr...
chiportal
 
Reconfigurable Computing
Reconfigurable ComputingReconfigurable Computing
Reconfigurable Computing
ppd1961
 
FPGA Architecture Presentation
FPGA Architecture PresentationFPGA Architecture Presentation
FPGA Architecture Presentation
omutukuda
 

What's hot (20)

Implementation of Soft-core Processor on FPGA
Implementation of Soft-core Processor on FPGAImplementation of Soft-core Processor on FPGA
Implementation of Soft-core Processor on FPGA
 
DSP by FPGA
DSP by FPGADSP by FPGA
DSP by FPGA
 
The Cell Processor
The Cell ProcessorThe Cell Processor
The Cell Processor
 
Smart logic
Smart logicSmart logic
Smart logic
 
Advance hdl design training on xilinx fpga
Advance hdl design training on xilinx fpgaAdvance hdl design training on xilinx fpga
Advance hdl design training on xilinx fpga
 
FPGA In a Nutshell
FPGA In a NutshellFPGA In a Nutshell
FPGA In a Nutshell
 
SoC FPGA Technology
SoC FPGA TechnologySoC FPGA Technology
SoC FPGA Technology
 
Preparing Codes for Intel Knights Landing (KNL)
Preparing Codes for Intel Knights Landing (KNL)Preparing Codes for Intel Knights Landing (KNL)
Preparing Codes for Intel Knights Landing (KNL)
 
SOC Interconnects: AMBA & CoreConnect
SOC Interconnects: AMBA  & CoreConnectSOC Interconnects: AMBA  & CoreConnect
SOC Interconnects: AMBA & CoreConnect
 
FPGA in outer space seminar report
FPGA in outer space seminar reportFPGA in outer space seminar report
FPGA in outer space seminar report
 
Melp codec optimization using DSP kit
Melp codec optimization using DSP kitMelp codec optimization using DSP kit
Melp codec optimization using DSP kit
 
TRACK D: A breakthrough in logic design drastically improving performances fr...
TRACK D: A breakthrough in logic design drastically improving performances fr...TRACK D: A breakthrough in logic design drastically improving performances fr...
TRACK D: A breakthrough in logic design drastically improving performances fr...
 
Fpga
FpgaFpga
Fpga
 
Reconfigurable Computing
Reconfigurable ComputingReconfigurable Computing
Reconfigurable Computing
 
Network Programming: Data Plane Development Kit (DPDK)
Network Programming: Data Plane Development Kit (DPDK)Network Programming: Data Plane Development Kit (DPDK)
Network Programming: Data Plane Development Kit (DPDK)
 
FPGA Architecture Presentation
FPGA Architecture PresentationFPGA Architecture Presentation
FPGA Architecture Presentation
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
Accelerate Big Data Processing with High-Performance Computing Technologies
Accelerate Big Data Processing with High-Performance Computing TechnologiesAccelerate Big Data Processing with High-Performance Computing Technologies
Accelerate Big Data Processing with High-Performance Computing Technologies
 
Dr.s.shiyamala fpga ppt
Dr.s.shiyamala  fpga pptDr.s.shiyamala  fpga ppt
Dr.s.shiyamala fpga ppt
 

Viewers also liked

Blue gene technology
Blue gene technologyBlue gene technology
Blue gene technology
Vivek Jha
 
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
eSAT Publishing House
 
5G MOBILE TECHNOLOGY PPT
5G MOBILE TECHNOLOGY PPT5G MOBILE TECHNOLOGY PPT
5G MOBILE TECHNOLOGY PPT
priyanka reddy
 

Viewers also liked (13)

Mathematical Modeling Experimental Approach of the Friction on the Tool-Chip ...
Mathematical Modeling Experimental Approach of the Friction on the Tool-Chip ...Mathematical Modeling Experimental Approach of the Friction on the Tool-Chip ...
Mathematical Modeling Experimental Approach of the Friction on the Tool-Chip ...
 
Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...
Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...
Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...
 
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...
 
Blue gene technology
Blue gene technologyBlue gene technology
Blue gene technology
 
Chip Design Trend & Fabrication Prospects In India
Chip  Design Trend & Fabrication Prospects In IndiaChip  Design Trend & Fabrication Prospects In India
Chip Design Trend & Fabrication Prospects In India
 
Modern Control - Lec 02 - Mathematical Modeling of Systems
Modern Control - Lec 02 - Mathematical Modeling of SystemsModern Control - Lec 02 - Mathematical Modeling of Systems
Modern Control - Lec 02 - Mathematical Modeling of Systems
 
Blue brain
Blue brainBlue brain
Blue brain
 
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
 
DSP_FOEHU - Lec 07 - Digital Filters
DSP_FOEHU - Lec 07 - Digital FiltersDSP_FOEHU - Lec 07 - Digital Filters
DSP_FOEHU - Lec 07 - Digital Filters
 
5 g –wireless technology
5 g –wireless technology5 g –wireless technology
5 g –wireless technology
 
5g ppt new
5g ppt new5g ppt new
5g ppt new
 
5G MOBILE TECHNOLOGY PPT
5G MOBILE TECHNOLOGY PPT5G MOBILE TECHNOLOGY PPT
5G MOBILE TECHNOLOGY PPT
 
Presentation on 1G/2G/3G/4G/5G/Cellular & Wireless Technologies
Presentation on 1G/2G/3G/4G/5G/Cellular & Wireless TechnologiesPresentation on 1G/2G/3G/4G/5G/Cellular & Wireless Technologies
Presentation on 1G/2G/3G/4G/5G/Cellular & Wireless Technologies
 

Similar to Mp So C 18 Apr

Cisco crs1
Cisco crs1Cisco crs1
Cisco crs1
wjunjmt
 
Maxwell siuc hpc_description_tutorial
Maxwell siuc hpc_description_tutorialMaxwell siuc hpc_description_tutorial
Maxwell siuc hpc_description_tutorial
madhuinturi
 
Parallelism Processor Design
Parallelism Processor DesignParallelism Processor Design
Parallelism Processor Design
Sri Prasanna
 

Similar to Mp So C 18 Apr (20)

PF_DIRECT@TMA12
PF_DIRECT@TMA12PF_DIRECT@TMA12
PF_DIRECT@TMA12
 
chameleon chip
chameleon chipchameleon chip
chameleon chip
 
Building efficient 5G NR base stations with Intel® Xeon® Scalable Processors
Building efficient 5G NR base stations with Intel® Xeon® Scalable Processors Building efficient 5G NR base stations with Intel® Xeon® Scalable Processors
Building efficient 5G NR base stations with Intel® Xeon® Scalable Processors
 
High perf-networking
High perf-networkingHigh perf-networking
High perf-networking
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of Systems
 
CISC & RISC Architecture
CISC & RISC Architecture CISC & RISC Architecture
CISC & RISC Architecture
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...
 
NUMA-aware thread-parallel breadth-first search for Graph500 and Green Graph5...
NUMA-aware thread-parallel breadth-first search for Graph500 and Green Graph5...NUMA-aware thread-parallel breadth-first search for Graph500 and Green Graph5...
NUMA-aware thread-parallel breadth-first search for Graph500 and Green Graph5...
 
Link_NwkingforDevOps
Link_NwkingforDevOpsLink_NwkingforDevOps
Link_NwkingforDevOps
 
Overview of HPC Interconnects
Overview of HPC InterconnectsOverview of HPC Interconnects
Overview of HPC Interconnects
 
Cisco crs1
Cisco crs1Cisco crs1
Cisco crs1
 
LinkedIn OpenFabric Project - Interop 2017
LinkedIn OpenFabric Project - Interop 2017LinkedIn OpenFabric Project - Interop 2017
LinkedIn OpenFabric Project - Interop 2017
 
OpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC SystemsOpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC Systems
 
DPDK Summit - 08 Sept 2014 - NTT - High Performance vSwitch
DPDK Summit - 08 Sept 2014 - NTT - High Performance vSwitchDPDK Summit - 08 Sept 2014 - NTT - High Performance vSwitch
DPDK Summit - 08 Sept 2014 - NTT - High Performance vSwitch
 
Maxwell siuc hpc_description_tutorial
Maxwell siuc hpc_description_tutorialMaxwell siuc hpc_description_tutorial
Maxwell siuc hpc_description_tutorial
 
Fastsocket Linxiaofeng
Fastsocket LinxiaofengFastsocket Linxiaofeng
Fastsocket Linxiaofeng
 
soc design for dsp applications
soc design for dsp applicationssoc design for dsp applications
soc design for dsp applications
 
Parallelism Processor Design
Parallelism Processor DesignParallelism Processor Design
Parallelism Processor Design
 
HiPEAC-CSW 2022_Kevin Mika presentation
HiPEAC-CSW 2022_Kevin Mika presentationHiPEAC-CSW 2022_Kevin Mika presentation
HiPEAC-CSW 2022_Kevin Mika presentation
 
Fast datastacks - fast and flexible nfv solution stacks leveraging fd.io
Fast datastacks - fast and flexible nfv solution stacks leveraging fd.ioFast datastacks - fast and flexible nfv solution stacks leveraging fd.io
Fast datastacks - fast and flexible nfv solution stacks leveraging fd.io
 

More from FNian

Wipro Media Q1 0809
Wipro Media Q1 0809Wipro Media Q1 0809
Wipro Media Q1 0809
FNian
 
Watts Brief
Watts BriefWatts Brief
Watts Brief
FNian
 
The Role Of Business In Society Presentation At
The Role Of Business In Society   Presentation AtThe Role Of Business In Society   Presentation At
The Role Of Business In Society Presentation At
FNian
 
Unit C Eco Toolbox
Unit C Eco ToolboxUnit C Eco Toolbox
Unit C Eco Toolbox
FNian
 
Singapore Jakarta Conf
Singapore Jakarta ConfSingapore Jakarta Conf
Singapore Jakarta Conf
FNian
 
Syndication Pp
Syndication PpSyndication Pp
Syndication Pp
FNian
 
Integration of internal database system
Integration of internal database systemIntegration of internal database system
Integration of internal database system
FNian
 
Analyse sourcing and manufacturing strategies
Analyse sourcing and manufacturing strategiesAnalyse sourcing and manufacturing strategies
Analyse sourcing and manufacturing strategies
FNian
 
Scitc 2006 India 2005 And Future
Scitc 2006 India 2005 And FutureScitc 2006 India 2005 And Future
Scitc 2006 India 2005 And Future
FNian
 
Miller China Trade
Miller China TradeMiller China Trade
Miller China Trade
FNian
 
Developing a market plan
Developing a market planDeveloping a market plan
Developing a market plan
FNian
 
Gianelle Tattara
Gianelle TattaraGianelle Tattara
Gianelle Tattara
FNian
 
Gp Industry
Gp IndustryGp Industry
Gp Industry
FNian
 
House
HouseHouse
House
FNian
 
How To Biuld Internal Rating System For Basel Ii
How To Biuld Internal Rating System For Basel IiHow To Biuld Internal Rating System For Basel Ii
How To Biuld Internal Rating System For Basel Ii
FNian
 
Gujarat
GujaratGujarat
Gujarat
FNian
 
Ietp Session 2 June 28
Ietp Session   2 June 28Ietp Session   2 June 28
Ietp Session 2 June 28
FNian
 
India An Overview
India An OverviewIndia An Overview
India An Overview
FNian
 
Intra Industry
Intra IndustryIntra Industry
Intra Industry
FNian
 
Innovation Class 6
Innovation Class 6Innovation Class 6
Innovation Class 6
FNian
 

More from FNian (20)

Wipro Media Q1 0809
Wipro Media Q1 0809Wipro Media Q1 0809
Wipro Media Q1 0809
 
Watts Brief
Watts BriefWatts Brief
Watts Brief
 
The Role Of Business In Society Presentation At
The Role Of Business In Society   Presentation AtThe Role Of Business In Society   Presentation At
The Role Of Business In Society Presentation At
 
Unit C Eco Toolbox
Unit C Eco ToolboxUnit C Eco Toolbox
Unit C Eco Toolbox
 
Singapore Jakarta Conf
Singapore Jakarta ConfSingapore Jakarta Conf
Singapore Jakarta Conf
 
Syndication Pp
Syndication PpSyndication Pp
Syndication Pp
 
Integration of internal database system
Integration of internal database systemIntegration of internal database system
Integration of internal database system
 
Analyse sourcing and manufacturing strategies
Analyse sourcing and manufacturing strategiesAnalyse sourcing and manufacturing strategies
Analyse sourcing and manufacturing strategies
 
Scitc 2006 India 2005 And Future
Scitc 2006 India 2005 And FutureScitc 2006 India 2005 And Future
Scitc 2006 India 2005 And Future
 
Miller China Trade
Miller China TradeMiller China Trade
Miller China Trade
 
Developing a market plan
Developing a market planDeveloping a market plan
Developing a market plan
 
Gianelle Tattara
Gianelle TattaraGianelle Tattara
Gianelle Tattara
 
Gp Industry
Gp IndustryGp Industry
Gp Industry
 
House
HouseHouse
House
 
How To Biuld Internal Rating System For Basel Ii
How To Biuld Internal Rating System For Basel IiHow To Biuld Internal Rating System For Basel Ii
How To Biuld Internal Rating System For Basel Ii
 
Gujarat
GujaratGujarat
Gujarat
 
Ietp Session 2 June 28
Ietp Session   2 June 28Ietp Session   2 June 28
Ietp Session 2 June 28
 
India An Overview
India An OverviewIndia An Overview
India An Overview
 
Intra Industry
Intra IndustryIntra Industry
Intra Industry
 
Innovation Class 6
Innovation Class 6Innovation Class 6
Innovation Class 6
 

Recently uploaded

The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai KuwaitThe Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
daisycvs
 
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
daisycvs
 
Mckinsey foundation level Handbook for Viewing
Mckinsey foundation level Handbook for ViewingMckinsey foundation level Handbook for Viewing
Mckinsey foundation level Handbook for Viewing
Nauman Safdar
 

Recently uploaded (20)

Paradip CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
Paradip CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDINGParadip CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
Paradip CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
 
HomeRoots Pitch Deck | Investor Insights | April 2024
HomeRoots Pitch Deck | Investor Insights | April 2024HomeRoots Pitch Deck | Investor Insights | April 2024
HomeRoots Pitch Deck | Investor Insights | April 2024
 
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai KuwaitThe Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
 
Falcon Invoice Discounting: Unlock Your Business Potential
Falcon Invoice Discounting: Unlock Your Business PotentialFalcon Invoice Discounting: Unlock Your Business Potential
Falcon Invoice Discounting: Unlock Your Business Potential
 
Organizational Transformation Lead with Culture
Organizational Transformation Lead with CultureOrganizational Transformation Lead with Culture
Organizational Transformation Lead with Culture
 
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
 
Call 7737669865 Vadodara Call Girls Service at your Door Step Available All Time
Call 7737669865 Vadodara Call Girls Service at your Door Step Available All TimeCall 7737669865 Vadodara Call Girls Service at your Door Step Available All Time
Call 7737669865 Vadodara Call Girls Service at your Door Step Available All Time
 
Chennai Call Gril 80022//12248 Only For Sex And High Profile Best Gril Sex Av...
Chennai Call Gril 80022//12248 Only For Sex And High Profile Best Gril Sex Av...Chennai Call Gril 80022//12248 Only For Sex And High Profile Best Gril Sex Av...
Chennai Call Gril 80022//12248 Only For Sex And High Profile Best Gril Sex Av...
 
JAJPUR CALL GIRL ❤ 82729*64427❤ CALL GIRLS IN JAJPUR ESCORTS
JAJPUR CALL GIRL ❤ 82729*64427❤ CALL GIRLS IN JAJPUR  ESCORTSJAJPUR CALL GIRL ❤ 82729*64427❤ CALL GIRLS IN JAJPUR  ESCORTS
JAJPUR CALL GIRL ❤ 82729*64427❤ CALL GIRLS IN JAJPUR ESCORTS
 
Mckinsey foundation level Handbook for Viewing
Mckinsey foundation level Handbook for ViewingMckinsey foundation level Handbook for Viewing
Mckinsey foundation level Handbook for Viewing
 
Berhampur CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
Berhampur CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDINGBerhampur CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
Berhampur CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
 
Pre Engineered Building Manufacturers Hyderabad.pptx
Pre Engineered  Building Manufacturers Hyderabad.pptxPre Engineered  Building Manufacturers Hyderabad.pptx
Pre Engineered Building Manufacturers Hyderabad.pptx
 
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdfDr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
 
Cannabis Legalization World Map: 2024 Updated
Cannabis Legalization World Map: 2024 UpdatedCannabis Legalization World Map: 2024 Updated
Cannabis Legalization World Map: 2024 Updated
 
Putting the SPARK into Virtual Training.pptx
Putting the SPARK into Virtual Training.pptxPutting the SPARK into Virtual Training.pptx
Putting the SPARK into Virtual Training.pptx
 
Kalyan Call Girl 98350*37198 Call Girls in Escort service book now
Kalyan Call Girl 98350*37198 Call Girls in Escort service book nowKalyan Call Girl 98350*37198 Call Girls in Escort service book now
Kalyan Call Girl 98350*37198 Call Girls in Escort service book now
 
Falcon Invoice Discounting: The best investment platform in india for investors
Falcon Invoice Discounting: The best investment platform in india for investorsFalcon Invoice Discounting: The best investment platform in india for investors
Falcon Invoice Discounting: The best investment platform in india for investors
 
Arti Languages Pre Seed Teaser Deck 2024.pdf
Arti Languages Pre Seed Teaser Deck 2024.pdfArti Languages Pre Seed Teaser Deck 2024.pdf
Arti Languages Pre Seed Teaser Deck 2024.pdf
 
Lucknow Housewife Escorts by Sexy Bhabhi Service 8250092165
Lucknow Housewife Escorts  by Sexy Bhabhi Service 8250092165Lucknow Housewife Escorts  by Sexy Bhabhi Service 8250092165
Lucknow Housewife Escorts by Sexy Bhabhi Service 8250092165
 
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...
 

Mp So C 18 Apr