SlideShare una empresa de Scribd logo
1 de 5
Descargar para leer sin conexión
ISSN: 2278 – 1323
                         International Journal of Advanced Research in Computer Engineering & Technology
                                                                              Volume 1, Issue 5, July 2012




         Design and Verification Eight Port Router for Network on Chip

                      Sana.Ranjitha,IEEE-2012,B.Vijay Bhaskar ,R.SuryaPrakash
                           St.Theressa College of Engineering,JNTU-2012


ABSTRACT`: Multiprocessor system on chip is               with less effort. Recent advancement towards this
emerging as a new trend for System on chip design         goal is methodologies. The methodology defines a
but the wire and power design constraints are             skeleton over which one can add flesh and skin to
forcing adoption of new design methodologies.             their requirements to achieve functional verification.
Researchers pursued a scalable solution to this           OVM (open verification methodology) is one such
problem i.e. Network on Chip (NOC). Network on            efficient methodology and best thing about it is, it is
chip architecture better supports the integration of      free. This ovm is built on system Verilog and used
SOC consists of on chip packet switched network.          effectively to achieve maintainability, reusability,
Thus the idea is borrowed from large scale                speed of verification etc. This project is aimed at
multiprocessors and wide area network domain              building a reusable test bench for verifying 8 Port
and envisions on chip routers based network. Cores        Router Protocol Bridge by using system Verilog and
access the network by means of proper interfaces          ovm
and have their packets forwarded to destination                    In this document the use of vmm and system
through multichip routing path. In order to               Verilog to verify a design and to develop a reusable
implement a competitive NOC architecture, the             test bench is explained in step by step as defined by
router should be efficiently design as it is the          verification principles and methodology. The test
central component of NOC architecture. Design             bench contains different components and each
And Verify the functionality of the “Design and           component is again composed of subcomponents,
Verification Eight Port Router for Network on             these components and subcomponents can be reused
Chip” IP core using the latest verification               for the future projects as long as the interface is
methodologies, Hardware Verification Languages            same.
and EDA tools and qualify the IP for Synthesis an
implementation.                                                                 Router:
                                                                  System on chip is a complex interconnection
                                                          of various functional elements. It creates
                   Introduction                           communication      bottleneck     in   the    gigabit
                                                          communication due to its bus based architecture.
         My research is based on the paper” router        Thus there was need of system that explicit
design for network on chip”. Now in this paper I          modularity and parallelism, network on chip possess
have designed a eight port router which is the            many such attractive properties and solve the
advancement for the previous four port router             problem of communication bottleneck. It basically
network.But in the four port network we have the          works on the idea of interconnection of cores using
ability to connect a network of four systems which is     on chip network.
limited.Now I extended this network upto 8 ports                  The communication on network on chip is
and I observed the results using verilog HDL.             carried out by means of router, so for implementing
                                                          better NOC , the router should be efficiently design.
        The challenge of the verifying a large design     This router supports four parallel connections at the
is growing exponentially. There is a need to define       same time. It uses store and forward type of flow
new methods that makes functional verification easy.      control and Fsm Controller deterministic routing
Several strategies in the recent years have been          which improves the performance of router. The
proposed to achieve good functional verification


                                                                                                        42
                                    All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                 International Journal of Advanced Research in Computer Engineering & Technology
                                                                                      Volume 1, Issue 5, July 2012



switching mechanism used here is packet switching           packet is driven out. The router has an active low
which is generally used on network on chip.                 synchronous input resetn which resets the router.
         In packet switching the data the data                        .Data packet moves in to the input channel
transfers in the form of packets between cooperating        of one port of router by which it is forwarded to the
routers and independent routing decision is taken.          output channel of other port. Each input channel and
The store and forward flow mechanism is best                output channel has its own decoding logic which
because it does not reserve channels and thus does          increases the performance of the router. Buffers are
not lead to idle physical channels. The arbiter is of       present at all ports to store the data temporarily.
rotating priority scheme so that every channel once                   The buffering method used here is store and
get chance to transfer its data. In this router both        forward. Control logic is present to make arbitration
input and output buffering is used so that congestion       decisions. Thus communication is established
can be avoided at both sides.                               between input and output ports.. According to the
         A router is a device that forwards data            destination path of data packet, control bit lines of
packets across computer networks. Routers perform           FSM are set. The movement of data from source to
the data "traffic direction" functions on the Internet.     destination is called switching mechanism The
A router is a microprocessor-controlled device that is      packet switching mechanism is used here, in which
connected to two or more data lines from different          the flit size is 8 bits .Thus the packet size varies from
networks. When a data packet comes in on one of             0 bits to 8 bits. A detailed explanation of Design is
the lines.the router reads the address information in       as follow
the packet to determine its ultimate destination.
Then, using information in its routing table, it directs
the packet to the next network on its journey.

         The router is a ” Eight Port Network
Router” has a one input port from which the packet                                                     DATA out
enters. It has seven output ports where the packet is        packet_valid
driven out. Packet contains 3 parts. They are Header,                                                  VLD out
                                                             suspend_data
data and frame check sequence. Packet width is 8
bits and the length of the packet can be between 1           err                    8 Port             Read Enable
bytes to 64 bytes. Packet header contains three fields                             Routers
DAand length.Destination address(DA) of the packet
is of 8 bits. The switch drives the packet to                      clock
respective ports based on this destination address of              reset
the packets. Each output port has 8-bit unique port
address. If the destination address of the packet
matches the port address, then switch drives the            Block Diagram Of Eight Port Router
packet to the output port, Length of the data is of 8
bits and from 0 to 63. Length is measured in terms of
bytes. Data should be in terms of bytes and can take
anything. Frame check sequence contains the
security check of the packet. It is calculated over the
header and data.
Router is a packet based protocol. Router drives the
incoming packet which comes from the input port to
output ports based on the address contained in the
packet
         The router has a one input port from which
the packet enters. It has three output ports where the



                                                                                                                  43
                                         All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                              International Journal of Advanced Research in Computer Engineering & Technology
                                                                                                   Volume 1, Issue 5, July 2012



 8   7   6        4    3   21 0                                                        Data registers latches the data from data
     Length                addr   byte 0                 Header               input based on state and status control signals, and
             data[0]              byte 1                                      this latched data is sent to the fifo for storage. Apart
             data[1]                                                          from it, data is also latched into the parity registers
                                                         Payload              for parity calculation and it is compared with the
                                                                              parity byte of the packet. An error signal is generated
                                                                              if packet parity is not equal to the calculated parity
             data[N]              byte N+1
             parity               byte N+2               Parity

Data Packet Format




 clock

                                                                  delay
 reset

 packet_valid

 data                                 H    D     D   D   P                H            D          D   D       P

Suspend_data

 err
 sent packet                          Packet 1 (addr = 0)                         Packet 1 (addr =
                                                                                  0)
                                               H = Header, D = Data, P = Parity
                       Router Input Protocol
clock

reset

packet_valid

data                              H       D     D    D   P                H            D          D       D   P

vld_out_0
                                      response delay
read_enb
_0
data_out_0                                                         H      D   D    D   P


received
                                                                  Packet 1 (addr = 0)
packet
                           Router output Protocol

Register Block:
         This module contains status, data and parity
registers required by router. All the registers in this
module are latched on rising edge of the clock.



                                                                                                                                   44
                                                     All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                      International Journal of Advanced Research in Computer Engineering & Technology
                                                                                           Volume 1, Issue 5, July 2012




                     Router Output Block
    There are 7 fifos used in the router design. Each fifo
    is of 8 bit width and 16 bit depth.
    The fifo works on system clock. It has synchronous
    input signal reset.                                          8 Port Router Output
    If resetn is low then full =0, empty = 1 and data_out
    =0                                                           Conclusion
     The FIFO has doing 7 deferent operations                             As the functional verification decides the
   Write Operation                                              quality of the silicon, we spend 60% of the design
   Read operation                                               cycle time only for the verification/simulation. In
    Read and Write Operation                                     order to avoid the delay and meet the TTM, we use
    The functionality of FIFO explain Below                      the    latest   verification     methodologies   and
                                                                 technologies and accelerate the verification process.
                                                                 This project helps one to understand the complete
                                                                 functional verification process of complex ASICs an
                                                                 SoC’s and it gives opportunity to try the latest
                                                                 verification methodologies, programming concepts
                                                                 like Object Oriented Programming of Hardware
                     Four port Router FIFO
                                                                 Verification Languages and sophisticated EDA tools,
    Write operation:
                                                                 for the high quality verification.
         The FIFO write operation is done by when the
    data from input data_in is sampled at rising edge of                 In this Four Port Router project I Design and
    the clock when input write_enb is high and fifo is           verified the functionality of Router with the latest
    not full.in this condition onaly FIFO Write operation        Verification methodology i.e.,System Verilog and
    is done.                                                     observed the code coverage and functional coverage
    Read Operation:                                              of Router by using coverpoints ,cross and different
        The FIFO Read Operation is The data is read              test cases like constrained, weighted and directed
    from output data_out at rising edge of the clock,            testcases.By using these testcases I improved the
    when read_enb is high and fifo is not empty.                 functional coverage of Router. In this I used one
    Read and Write operation can be done                         master and eight slaves to monitor the Router.Thus
    simultaneously.                                              the functional coverage of Router was improved.
    Full – it indicates that all the locations inside fifo has            The results shows that System Verilog
    been written.                                                methodology can be used to make reusable test
    Empty – it indicates that all the locations of fifo are      benches successfully. Large part of the test bench is
    empty.                                                       made reusable over multiple projects.even though
                                                                 this reusablity is limited to the interfaces. A large
                                                                 class of devices that are build on these inerfaces can
                                                                 be verified successfully. Once these components are




                                                                                                                    45
                                              All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                    International Journal of Advanced Research in Computer Engineering & Technology
                                                                                         Volume 1, Issue 5, July 2012



    made the amount of time required to build          test    Router for NOC by Michael K. Papamichael
    benches for other projects can be reduced a lot.
                                                               Writing test benches using system Verilog by Janick
                                                                Bergeron

                         References                            OVM Cook book

   Verilog HDL- Digital Design and Synthesis, by              OVM Reference manual
    Samir Palnitkar
                                                                Websites
                                                               www.testbench.in
   Open Cores project site http://www.opencores.org..
                                                               www.ovmworld.org
   CISCO Integrated Services Router –SRND(Solution
    Reference Network Design) document                         www.systemverilog.org

   NORTEL ISP Router Design document.                         www.cisco.com/go/isr

   VMM User guide                                             www.vmmcentral.com

   VMM Reference manual

   System Verilog for verification by Chris Spear




                                                                                                                  46
                                            All Rights Reserved © 2012 IJARCET

Más contenido relacionado

La actualidad más candente

Advanced computer network lab manual (practicals in Cisco Packet tracer)
Advanced computer network lab manual (practicals in Cisco Packet tracer)Advanced computer network lab manual (practicals in Cisco Packet tracer)
Advanced computer network lab manual (practicals in Cisco Packet tracer)VrundaBhavsar
 
07 coms 525 tcpip - udp [autosaved]
07    coms 525 tcpip - udp [autosaved]07    coms 525 tcpip - udp [autosaved]
07 coms 525 tcpip - udp [autosaved]Palanivel Kuppusamy
 
Ieee 2015 project list_vlsi
Ieee 2015 project list_vlsiIeee 2015 project list_vlsi
Ieee 2015 project list_vlsiigeeks1234
 
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...Jaipal Dhobale
 
Investigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachInvestigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachIJERA Editor
 
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...IJMER
 
ANALYSIS OF ROUTING PROTOCOLS IN WIRELESS MESH NETWORK
ANALYSIS OF ROUTING PROTOCOLS IN WIRELESS MESH NETWORKANALYSIS OF ROUTING PROTOCOLS IN WIRELESS MESH NETWORK
ANALYSIS OF ROUTING PROTOCOLS IN WIRELESS MESH NETWORKIJCSIT Journal
 
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...Jaipal Dhobale
 
Adhoc mobile wireless network enhancement based on cisco devices
Adhoc mobile wireless network enhancement based on cisco devicesAdhoc mobile wireless network enhancement based on cisco devices
Adhoc mobile wireless network enhancement based on cisco devicesIJCNCJournal
 
Bandwidth estimation for ieee 802
Bandwidth estimation for ieee 802Bandwidth estimation for ieee 802
Bandwidth estimation for ieee 802Mumbai Academisc
 

La actualidad más candente (15)

Advanced computer network lab manual (practicals in Cisco Packet tracer)
Advanced computer network lab manual (practicals in Cisco Packet tracer)Advanced computer network lab manual (practicals in Cisco Packet tracer)
Advanced computer network lab manual (practicals in Cisco Packet tracer)
 
07 coms 525 tcpip - udp [autosaved]
07    coms 525 tcpip - udp [autosaved]07    coms 525 tcpip - udp [autosaved]
07 coms 525 tcpip - udp [autosaved]
 
Ieee 2015 project list_vlsi
Ieee 2015 project list_vlsiIeee 2015 project list_vlsi
Ieee 2015 project list_vlsi
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
WIRELESS - HOST TO HOST NETWORK PERFORMANCE EVALUATION BASED ON BITRATE AND N...
 
680 684
680 684680 684
680 684
 
Investigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachInvestigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing Approach
 
Module 3 INTERNET OF THINGS
Module 3 INTERNET OF THINGSModule 3 INTERNET OF THINGS
Module 3 INTERNET OF THINGS
 
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
 
Ip2515381543
Ip2515381543Ip2515381543
Ip2515381543
 
ANALYSIS OF ROUTING PROTOCOLS IN WIRELESS MESH NETWORK
ANALYSIS OF ROUTING PROTOCOLS IN WIRELESS MESH NETWORKANALYSIS OF ROUTING PROTOCOLS IN WIRELESS MESH NETWORK
ANALYSIS OF ROUTING PROTOCOLS IN WIRELESS MESH NETWORK
 
Data diode
Data diode Data diode
Data diode
 
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
Wired and Wireless Computer Network Performance Evaluation Using OMNeT++ Simu...
 
Adhoc mobile wireless network enhancement based on cisco devices
Adhoc mobile wireless network enhancement based on cisco devicesAdhoc mobile wireless network enhancement based on cisco devices
Adhoc mobile wireless network enhancement based on cisco devices
 
Bandwidth estimation for ieee 802
Bandwidth estimation for ieee 802Bandwidth estimation for ieee 802
Bandwidth estimation for ieee 802
 

Destacado (9)

20 54-1-pb
20 54-1-pb20 54-1-pb
20 54-1-pb
 
180 184
180 184180 184
180 184
 
313 318
313 318313 318
313 318
 
476 479
476 479476 479
476 479
 
Alfabeto Emocional
Alfabeto EmocionalAlfabeto Emocional
Alfabeto Emocional
 
303 306
303 306303 306
303 306
 
643 648
643 648643 648
643 648
 
87 90
87 9087 90
87 90
 
Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturization
 

Similar a 42 46

VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPVERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPEditor IJMTER
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...eSAT Journals
 
Multi port network ethernet performance improvement techniques
Multi port network ethernet performance improvement techniquesMulti port network ethernet performance improvement techniques
Multi port network ethernet performance improvement techniquesIJARIIT
 
ETHERNET PACKET PROCESSOR FOR SOC APPLICATION
ETHERNET PACKET PROCESSOR FOR SOC APPLICATIONETHERNET PACKET PROCESSOR FOR SOC APPLICATION
ETHERNET PACKET PROCESSOR FOR SOC APPLICATIONcscpconf
 
Ieee 2015 project list_vlsi
Ieee 2015 project list_vlsiIeee 2015 project list_vlsi
Ieee 2015 project list_vlsiigeeks1234
 
Me,be ieee 2015 project list_vlsi
Me,be ieee 2015 project list_vlsiMe,be ieee 2015 project list_vlsi
Me,be ieee 2015 project list_vlsiigeeks1234
 
Routing protocols in Ad-hoc Networks- A Simulation Study
Routing protocols in Ad-hoc Networks- A Simulation StudyRouting protocols in Ad-hoc Networks- A Simulation Study
Routing protocols in Ad-hoc Networks- A Simulation StudyIOSR Journals
 
Investigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachInvestigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachIJERA Editor
 
Understanding Network Routing Problem and Study of Routing Algorithms and Heu...
Understanding Network Routing Problem and Study of Routing Algorithms and Heu...Understanding Network Routing Problem and Study of Routing Algorithms and Heu...
Understanding Network Routing Problem and Study of Routing Algorithms and Heu...IRJET Journal
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
20607-39024-1-PB.pdf
20607-39024-1-PB.pdf20607-39024-1-PB.pdf
20607-39024-1-PB.pdfIjictTeam
 
Design and implementation of secured agent based NoC using shortest path rout...
Design and implementation of secured agent based NoC using shortest path rout...Design and implementation of secured agent based NoC using shortest path rout...
Design and implementation of secured agent based NoC using shortest path rout...IJECEIAES
 
IRJET- Estimating Various DHT Protocols
IRJET- Estimating Various DHT ProtocolsIRJET- Estimating Various DHT Protocols
IRJET- Estimating Various DHT ProtocolsIRJET Journal
 
Design &Implementation of I2C Master Controller Interfaced With RAM Using VHDL
Design &Implementation of I2C Master Controller Interfaced With RAM Using VHDLDesign &Implementation of I2C Master Controller Interfaced With RAM Using VHDL
Design &Implementation of I2C Master Controller Interfaced With RAM Using VHDLIJERA Editor
 

Similar a 42 46 (20)

VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPVERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...
 
Multi port network ethernet performance improvement techniques
Multi port network ethernet performance improvement techniquesMulti port network ethernet performance improvement techniques
Multi port network ethernet performance improvement techniques
 
135 139
135 139135 139
135 139
 
ETHERNET PACKET PROCESSOR FOR SOC APPLICATION
ETHERNET PACKET PROCESSOR FOR SOC APPLICATIONETHERNET PACKET PROCESSOR FOR SOC APPLICATION
ETHERNET PACKET PROCESSOR FOR SOC APPLICATION
 
Ieee 2015 project list_vlsi
Ieee 2015 project list_vlsiIeee 2015 project list_vlsi
Ieee 2015 project list_vlsi
 
Me,be ieee 2015 project list_vlsi
Me,be ieee 2015 project list_vlsiMe,be ieee 2015 project list_vlsi
Me,be ieee 2015 project list_vlsi
 
Routing protocols in Ad-hoc Networks- A Simulation Study
Routing protocols in Ad-hoc Networks- A Simulation StudyRouting protocols in Ad-hoc Networks- A Simulation Study
Routing protocols in Ad-hoc Networks- A Simulation Study
 
C0343015019
C0343015019C0343015019
C0343015019
 
Investigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachInvestigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing Approach
 
Understanding Network Routing Problem and Study of Routing Algorithms and Heu...
Understanding Network Routing Problem and Study of Routing Algorithms and Heu...Understanding Network Routing Problem and Study of Routing Algorithms and Heu...
Understanding Network Routing Problem and Study of Routing Algorithms and Heu...
 
Module 1.pptx
Module 1.pptxModule 1.pptx
Module 1.pptx
 
Poster_example
Poster_examplePoster_example
Poster_example
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
3
33
3
 
20607-39024-1-PB.pdf
20607-39024-1-PB.pdf20607-39024-1-PB.pdf
20607-39024-1-PB.pdf
 
Design and implementation of secured agent based NoC using shortest path rout...
Design and implementation of secured agent based NoC using shortest path rout...Design and implementation of secured agent based NoC using shortest path rout...
Design and implementation of secured agent based NoC using shortest path rout...
 
IRJET- Estimating Various DHT Protocols
IRJET- Estimating Various DHT ProtocolsIRJET- Estimating Various DHT Protocols
IRJET- Estimating Various DHT Protocols
 
Design &Implementation of I2C Master Controller Interfaced With RAM Using VHDL
Design &Implementation of I2C Master Controller Interfaced With RAM Using VHDLDesign &Implementation of I2C Master Controller Interfaced With RAM Using VHDL
Design &Implementation of I2C Master Controller Interfaced With RAM Using VHDL
 
Iot
IotIot
Iot
 

Más de Editor IJARCET

Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Editor IJARCET
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Editor IJARCET
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Editor IJARCET
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Editor IJARCET
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Editor IJARCET
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Editor IJARCET
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Editor IJARCET
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Editor IJARCET
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Editor IJARCET
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Editor IJARCET
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Editor IJARCET
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Editor IJARCET
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Editor IJARCET
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Editor IJARCET
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Editor IJARCET
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Editor IJARCET
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Editor IJARCET
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Editor IJARCET
 
Volume 2-issue-6-2098-2101
Volume 2-issue-6-2098-2101Volume 2-issue-6-2098-2101
Volume 2-issue-6-2098-2101Editor IJARCET
 

Más de Editor IJARCET (20)

Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107
 
Volume 2-issue-6-2098-2101
Volume 2-issue-6-2098-2101Volume 2-issue-6-2098-2101
Volume 2-issue-6-2098-2101
 

Último

"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESSALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESmohitsingh558521
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfPrecisely
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 
unit 4 immunoblotting technique complete.pptx
unit 4 immunoblotting technique complete.pptxunit 4 immunoblotting technique complete.pptx
unit 4 immunoblotting technique complete.pptxBkGupta21
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxLoriGlavin3
 
Generative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersGenerative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersRaghuram Pandurangan
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxUse of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxLoriGlavin3
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity PlanDatabarracks
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 

Último (20)

"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESSALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 
unit 4 immunoblotting technique complete.pptx
unit 4 immunoblotting technique complete.pptxunit 4 immunoblotting technique complete.pptx
unit 4 immunoblotting technique complete.pptx
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
 
Generative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersGenerative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information Developers
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxUse of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity Plan
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 

42 46

  • 1. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 Design and Verification Eight Port Router for Network on Chip Sana.Ranjitha,IEEE-2012,B.Vijay Bhaskar ,R.SuryaPrakash St.Theressa College of Engineering,JNTU-2012 ABSTRACT`: Multiprocessor system on chip is with less effort. Recent advancement towards this emerging as a new trend for System on chip design goal is methodologies. The methodology defines a but the wire and power design constraints are skeleton over which one can add flesh and skin to forcing adoption of new design methodologies. their requirements to achieve functional verification. Researchers pursued a scalable solution to this OVM (open verification methodology) is one such problem i.e. Network on Chip (NOC). Network on efficient methodology and best thing about it is, it is chip architecture better supports the integration of free. This ovm is built on system Verilog and used SOC consists of on chip packet switched network. effectively to achieve maintainability, reusability, Thus the idea is borrowed from large scale speed of verification etc. This project is aimed at multiprocessors and wide area network domain building a reusable test bench for verifying 8 Port and envisions on chip routers based network. Cores Router Protocol Bridge by using system Verilog and access the network by means of proper interfaces ovm and have their packets forwarded to destination In this document the use of vmm and system through multichip routing path. In order to Verilog to verify a design and to develop a reusable implement a competitive NOC architecture, the test bench is explained in step by step as defined by router should be efficiently design as it is the verification principles and methodology. The test central component of NOC architecture. Design bench contains different components and each And Verify the functionality of the “Design and component is again composed of subcomponents, Verification Eight Port Router for Network on these components and subcomponents can be reused Chip” IP core using the latest verification for the future projects as long as the interface is methodologies, Hardware Verification Languages same. and EDA tools and qualify the IP for Synthesis an implementation. Router: System on chip is a complex interconnection of various functional elements. It creates Introduction communication bottleneck in the gigabit communication due to its bus based architecture. My research is based on the paper” router Thus there was need of system that explicit design for network on chip”. Now in this paper I modularity and parallelism, network on chip possess have designed a eight port router which is the many such attractive properties and solve the advancement for the previous four port router problem of communication bottleneck. It basically network.But in the four port network we have the works on the idea of interconnection of cores using ability to connect a network of four systems which is on chip network. limited.Now I extended this network upto 8 ports The communication on network on chip is and I observed the results using verilog HDL. carried out by means of router, so for implementing better NOC , the router should be efficiently design. The challenge of the verifying a large design This router supports four parallel connections at the is growing exponentially. There is a need to define same time. It uses store and forward type of flow new methods that makes functional verification easy. control and Fsm Controller deterministic routing Several strategies in the recent years have been which improves the performance of router. The proposed to achieve good functional verification 42 All Rights Reserved © 2012 IJARCET
  • 2. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 switching mechanism used here is packet switching packet is driven out. The router has an active low which is generally used on network on chip. synchronous input resetn which resets the router. In packet switching the data the data .Data packet moves in to the input channel transfers in the form of packets between cooperating of one port of router by which it is forwarded to the routers and independent routing decision is taken. output channel of other port. Each input channel and The store and forward flow mechanism is best output channel has its own decoding logic which because it does not reserve channels and thus does increases the performance of the router. Buffers are not lead to idle physical channels. The arbiter is of present at all ports to store the data temporarily. rotating priority scheme so that every channel once The buffering method used here is store and get chance to transfer its data. In this router both forward. Control logic is present to make arbitration input and output buffering is used so that congestion decisions. Thus communication is established can be avoided at both sides. between input and output ports.. According to the A router is a device that forwards data destination path of data packet, control bit lines of packets across computer networks. Routers perform FSM are set. The movement of data from source to the data "traffic direction" functions on the Internet. destination is called switching mechanism The A router is a microprocessor-controlled device that is packet switching mechanism is used here, in which connected to two or more data lines from different the flit size is 8 bits .Thus the packet size varies from networks. When a data packet comes in on one of 0 bits to 8 bits. A detailed explanation of Design is the lines.the router reads the address information in as follow the packet to determine its ultimate destination. Then, using information in its routing table, it directs the packet to the next network on its journey. The router is a ” Eight Port Network Router” has a one input port from which the packet DATA out enters. It has seven output ports where the packet is packet_valid driven out. Packet contains 3 parts. They are Header, VLD out suspend_data data and frame check sequence. Packet width is 8 bits and the length of the packet can be between 1 err 8 Port Read Enable bytes to 64 bytes. Packet header contains three fields Routers DAand length.Destination address(DA) of the packet is of 8 bits. The switch drives the packet to clock respective ports based on this destination address of reset the packets. Each output port has 8-bit unique port address. If the destination address of the packet matches the port address, then switch drives the Block Diagram Of Eight Port Router packet to the output port, Length of the data is of 8 bits and from 0 to 63. Length is measured in terms of bytes. Data should be in terms of bytes and can take anything. Frame check sequence contains the security check of the packet. It is calculated over the header and data. Router is a packet based protocol. Router drives the incoming packet which comes from the input port to output ports based on the address contained in the packet The router has a one input port from which the packet enters. It has three output ports where the 43 All Rights Reserved © 2012 IJARCET
  • 3. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 8 7 6 4 3 21 0 Data registers latches the data from data Length addr byte 0 Header input based on state and status control signals, and data[0] byte 1 this latched data is sent to the fifo for storage. Apart data[1] from it, data is also latched into the parity registers Payload for parity calculation and it is compared with the parity byte of the packet. An error signal is generated if packet parity is not equal to the calculated parity data[N] byte N+1 parity byte N+2 Parity Data Packet Format clock delay reset packet_valid data H D D D P H D D D P Suspend_data err sent packet Packet 1 (addr = 0) Packet 1 (addr = 0) H = Header, D = Data, P = Parity Router Input Protocol clock reset packet_valid data H D D D P H D D D P vld_out_0 response delay read_enb _0 data_out_0 H D D D P received Packet 1 (addr = 0) packet Router output Protocol Register Block: This module contains status, data and parity registers required by router. All the registers in this module are latched on rising edge of the clock. 44 All Rights Reserved © 2012 IJARCET
  • 4. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 Router Output Block There are 7 fifos used in the router design. Each fifo is of 8 bit width and 16 bit depth. The fifo works on system clock. It has synchronous input signal reset. 8 Port Router Output If resetn is low then full =0, empty = 1 and data_out =0 Conclusion The FIFO has doing 7 deferent operations As the functional verification decides the  Write Operation quality of the silicon, we spend 60% of the design  Read operation cycle time only for the verification/simulation. In Read and Write Operation order to avoid the delay and meet the TTM, we use The functionality of FIFO explain Below the latest verification methodologies and technologies and accelerate the verification process. This project helps one to understand the complete functional verification process of complex ASICs an SoC’s and it gives opportunity to try the latest verification methodologies, programming concepts like Object Oriented Programming of Hardware Four port Router FIFO Verification Languages and sophisticated EDA tools, Write operation: for the high quality verification. The FIFO write operation is done by when the data from input data_in is sampled at rising edge of In this Four Port Router project I Design and the clock when input write_enb is high and fifo is verified the functionality of Router with the latest not full.in this condition onaly FIFO Write operation Verification methodology i.e.,System Verilog and is done. observed the code coverage and functional coverage Read Operation: of Router by using coverpoints ,cross and different The FIFO Read Operation is The data is read test cases like constrained, weighted and directed from output data_out at rising edge of the clock, testcases.By using these testcases I improved the when read_enb is high and fifo is not empty. functional coverage of Router. In this I used one Read and Write operation can be done master and eight slaves to monitor the Router.Thus simultaneously. the functional coverage of Router was improved. Full – it indicates that all the locations inside fifo has The results shows that System Verilog been written. methodology can be used to make reusable test Empty – it indicates that all the locations of fifo are benches successfully. Large part of the test bench is empty. made reusable over multiple projects.even though this reusablity is limited to the interfaces. A large class of devices that are build on these inerfaces can be verified successfully. Once these components are 45 All Rights Reserved © 2012 IJARCET
  • 5. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 5, July 2012 made the amount of time required to build test  Router for NOC by Michael K. Papamichael benches for other projects can be reduced a lot.  Writing test benches using system Verilog by Janick Bergeron References  OVM Cook book  Verilog HDL- Digital Design and Synthesis, by  OVM Reference manual Samir Palnitkar Websites  www.testbench.in  Open Cores project site http://www.opencores.org..  www.ovmworld.org  CISCO Integrated Services Router –SRND(Solution Reference Network Design) document  www.systemverilog.org  NORTEL ISP Router Design document.  www.cisco.com/go/isr  VMM User guide  www.vmmcentral.com  VMM Reference manual  System Verilog for verification by Chris Spear 46 All Rights Reserved © 2012 IJARCET