SlideShare a Scribd company logo
1 of 36
Parallel Processing
Computer Organizations
Multiple Processor Organization
• Single instruction, single data stream – SISD
• Single instruction, multiple data stream – SIMD
• Multiple instruction, single data stream – MISD
• Multiple instruction, multiple data stream- MIMD
Single Instruction, Single Data Stream - SISD
• Single processor
• Single instruction stream
• Data stored in single memory
Single Instruction, Multiple Data Stream - SIMD
• Single machine instruction
— Each instruction executed on different set of data by
different processors
• Number of processing elements
— Machine controls simultaneous execution
– Lockstep basis
— Each processing element has associated data memory
• Application: Vector and array processing
Multiple Instruction, Single Data Stream - MISD
• Sequence of data
• Transmitted to set of processors
• Each processor executes different instruction
sequence
• Not clear if it has ever been implemented
Multiple Instruction, Multiple Data Stream- MIMD
• Set of processors
• Simultaneously executes different instruction
sequences
• Different sets of data
• Examples: SMPs, NUMA systems, and Clusters
Taxonomy of Parallel Processor Architectures
Block Diagram of Tightly Coupled Multiprocessor
• Processors share memory
• Communicate via that shared memory
Symmetric Multiprocessor Organization
Symmetric Multiprocessors
• A stand alone computer with the following
characteristics
— Two or more similar processors of comparable capacity
— Processors share same memory and I/O
— Processors are connected by a bus or other internal
connection
— Memory access time is approximately the same for each
processor
— All processors share access to I/O
– Either through same channels or different channels giving paths
to same devices
— All processors can perform the same functions (hence
symmetric)
— System controlled by integrated operating system
– providing interaction between processors
– Interaction at job, task, file and data element levels
IBM z990
Multiprocessor
Structure
SMP Advantages
• Performance
—If some work can be done in parallel
• Availability
—Since all processors can perform the same
functions, failure of a single processor does not
halt the system
• Incremental growth
—User can enhance performance by adding
additional processors
• Scaling
—Vendors can offer range of products based on
number of processors
Cache Coherence Problems
Popular solution - Snoopy Protocol
• Distribute cache coherence responsibility among
cache controllers
• Cache recognizes that a line is shared
• Updates announced to other caches
Loosely Coupled - Clusters
• Collection of independent whole uniprocessors or SMPs
— Usually called nodes
• Interconnected to form a cluster
• Working together as unified resource
— Illusion of being one machine
• Communication via fixed path or network connections
Cluster Configurations
Cluster Benefits
• Absolute scalability
• Incremental scalability
• High availability
• Superior price/performance
Cluster Computer Architecture
Cluster v. SMP
• Both provide multiprocessor support to high
demand applications.
• Both available commercially
• SMP:
—Easier to manage and control
—Closer to single processor systems
– Scheduling is main difference
– Less physical space
– Lower power consumption
• Clustering:
—Superior incremental & absolute scalability
—Less cost
—Superior availability
– Redundancy
Nonuniform Memory Access (NUMA)
(Tightly coupled)
• Alternative to SMP & Clusters
• Nonuniform memory access
— All processors have access to all parts of memory
– Using load & store
— Access time of processor differs depending on region of memory
– Different processors access different regions of memory at different
speeds
• Cache coherent NUMA ?
— Cache coherence is maintained among the caches of the various
processors
— Significantly different from SMP and Clusters
Motivation
• SMP has practical limit to number of
processors
—Bus traffic limits to between 16 and 64 processors
• In clusters each node has own memory
—Apps do not see large global memory
—Coherence maintained by software not hardware
• NUMA retains SMP flavour while giving large
scale multiprocessing
• Objective is to maintain transparent system
wide memory while permitting multiprocessor
nodes, each with own bus or internal
interconnection system
CC-NUMA Organization
NUMA Pros & Cons
• Possibly effective performance at higher
levels of parallelism than one SMP
• Not very supportive of software changes
• Performance can breakdown if too much
access to remote memory
—Can be avoided by:
– L1 & L2 cache design reducing all memory access
+ Need good temporal locality of software
• Not transparent
—Page allocation, process allocation and load
balancing changes can be difficult
• Availability?
Multithreading
• Instruction stream divided into smaller streams
(threads)
• Executed in parallel
• There are a wide variety of multithreading designs
Definitions of Threads and Processes
• Threads in multithreaded processors may or may not
be same as software threads
• Process:
— An instance of program running on computer
• Thread: dispatchable unit of work within process
— Includes processor context (which includes the program
counter and stack pointer) and data area for stack
— Thread executes sequentially
— Interruptible: processor can turn to another thread
• Thread switch
— Switching processor between threads within same process
— Typically less costly than process switch
Implicit and Explicit Multithreading
• All commercial processors and most
experimental ones use explicit multithreading
—Concurrently execute instructions from different
explicit threads
—Interleave instructions from different threads on
shared pipelines or parallel execution on parallel
pipelines
• Implicit multithreading is concurrent
execution of multiple threads extracted from
single sequential program
—Implicit threads defined statically by compiler or
dynamically by hardware
Approaches to Explicit Multithreading
• Interleaved
— Fine-grained
— Processor deals with two or more thread contexts at a time
— Switching thread at each clock cycle
— If thread is blocked it is skipped
• Blocked
— Coarse-grained
— Thread executed until event causes delay
— E.g. cache miss
— Effective on in-order processor
— Avoids pipeline stall
• Simultaneous (SMT)
— Instructions simultaneously issued from multiple threads to
execution units of superscalar processor
• Chip multiprocessing
— Processor is replicated on a single chip
— Each processor handles separate threads
Scalar Processor Approaches
• Single-threaded scalar
—Simple pipeline
—No multithreading
• Interleaved multithreaded scalar
—Easiest multithreading to implement
—Switch threads at each clock cycle
—Pipeline stages kept close to fully occupied
—Hardware needs to switch thread context between
cycles
• Blocked multithreaded scalar
—Thread executed until latency event occurs
—Would stop pipeline
—Processor switches to another thread
Scalar Diagrams
Multiple Instruction Issue Processors
• Superscalar
— No multithreading
• Interleaved multithreading superscalar:
— Each cycle, as many instructions as possible issued from
single thread
— Delays due to thread switches eliminated
— Number of instructions issued in cycle limited by
dependencies
• Blocked multithreaded superscalar
— Instructions from one thread
— Blocked multithreading used
Multiple Instruction Issue Diagram
Multiple Instruction Issue Processors
• Very long instruction word (VLIW)
—E.g. IA-64
—Multiple instructions in single word
—Typically constructed by compiler
—Operations may be executed in parallel in same word
—May pad with no-ops
• Interleaved multithreading VLIW
—Similar efficiencies to interleaved multithreading on
superscalar architecture
• Blocked multithreaded VLIW
—Similar efficiencies to blocked multithreading on
superscalar architecture
Multiple Instruction Issue Diagram
Parallel, Simultaneous
Execution of Multiple Threads
• Simultaneous multithreading
—Issue multiple instructions at a time
—One thread may fill all horizontal slots
—Instructions from two or more threads may be
issued
—With enough threads, can issue maximum number
of instructions on each cycle
• Chip multiprocessor
—Multiple processors
—Each has two-issue superscalar processor
—Each processor is assigned thread
– Can issue up to two instructions per cycle per thread
Parallel Diagram
Examples
• Some Pentium 4 (single processor)
—Intel calls it hyperthreading
—SMT with support for two threads
—Single multithreaded processor, logically two
processors
• IBM Power5
—High-end PowerPC
—Combines chip multiprocessing with SMT
—Chip has two separate processors
—Each supporting two threads concurrently using
SMT

More Related Content

What's hot

Penjadwalan dan perhitungan kinerja cpu
Penjadwalan  dan perhitungan kinerja cpuPenjadwalan  dan perhitungan kinerja cpu
Penjadwalan dan perhitungan kinerja cpusoeswono
 
ADVANCED COMPUTER ARCHITECTURE AND PARALLEL PROCESSING
ADVANCED COMPUTER ARCHITECTUREAND PARALLEL PROCESSINGADVANCED COMPUTER ARCHITECTUREAND PARALLEL PROCESSING
ADVANCED COMPUTER ARCHITECTURE AND PARALLEL PROCESSING Zena Abo-Altaheen
 
Parallel Processing Presentation2
Parallel Processing Presentation2Parallel Processing Presentation2
Parallel Processing Presentation2daniyalqureshi712
 
Flynns classification
Flynns classificationFlynns classification
Flynns classificationYasir Khan
 
program flow mechanisms, advanced computer architecture
program flow mechanisms, advanced computer architectureprogram flow mechanisms, advanced computer architecture
program flow mechanisms, advanced computer architecturePankaj Kumar Jain
 
Multiprocessor architecture
Multiprocessor architectureMultiprocessor architecture
Multiprocessor architectureArpan Baishya
 
Synchronization in distributed systems
Synchronization in distributed systems Synchronization in distributed systems
Synchronization in distributed systems SHATHAN
 
Arquitectura de Cómputo Paralelo MISD
Arquitectura de Cómputo Paralelo MISDArquitectura de Cómputo Paralelo MISD
Arquitectura de Cómputo Paralelo MISDJuan Novelo
 
Operating system 18 process creation and termination
Operating system 18 process creation and terminationOperating system 18 process creation and termination
Operating system 18 process creation and terminationVaibhav Khanna
 
Advanced computer architecture
Advanced computer architectureAdvanced computer architecture
Advanced computer architectureAjithaSomasundaram
 
Lecture 1 introduction to parallel and distributed computing
Lecture 1   introduction to parallel and distributed computingLecture 1   introduction to parallel and distributed computing
Lecture 1 introduction to parallel and distributed computingVajira Thambawita
 
Distributed system architecture
Distributed system architectureDistributed system architecture
Distributed system architectureYisal Khan
 
Distributed operating system
Distributed operating systemDistributed operating system
Distributed operating systemPrankit Mishra
 

What's hot (20)

Penjadwalan dan perhitungan kinerja cpu
Penjadwalan  dan perhitungan kinerja cpuPenjadwalan  dan perhitungan kinerja cpu
Penjadwalan dan perhitungan kinerja cpu
 
ADVANCED COMPUTER ARCHITECTURE AND PARALLEL PROCESSING
ADVANCED COMPUTER ARCHITECTUREAND PARALLEL PROCESSINGADVANCED COMPUTER ARCHITECTUREAND PARALLEL PROCESSING
ADVANCED COMPUTER ARCHITECTURE AND PARALLEL PROCESSING
 
Parallel Processing Presentation2
Parallel Processing Presentation2Parallel Processing Presentation2
Parallel Processing Presentation2
 
Flynns classification
Flynns classificationFlynns classification
Flynns classification
 
Superscalar Processor
Superscalar ProcessorSuperscalar Processor
Superscalar Processor
 
program flow mechanisms, advanced computer architecture
program flow mechanisms, advanced computer architectureprogram flow mechanisms, advanced computer architecture
program flow mechanisms, advanced computer architecture
 
Multiprocessor system
Multiprocessor system Multiprocessor system
Multiprocessor system
 
Parallel processing
Parallel processingParallel processing
Parallel processing
 
Multiprocessor architecture
Multiprocessor architectureMultiprocessor architecture
Multiprocessor architecture
 
Pram model
Pram modelPram model
Pram model
 
Synchronization in distributed systems
Synchronization in distributed systems Synchronization in distributed systems
Synchronization in distributed systems
 
Array Processor
Array ProcessorArray Processor
Array Processor
 
Arquitectura de Cómputo Paralelo MISD
Arquitectura de Cómputo Paralelo MISDArquitectura de Cómputo Paralelo MISD
Arquitectura de Cómputo Paralelo MISD
 
Operating system 18 process creation and termination
Operating system 18 process creation and terminationOperating system 18 process creation and termination
Operating system 18 process creation and termination
 
Advanced computer architecture
Advanced computer architectureAdvanced computer architecture
Advanced computer architecture
 
Parallel processing
Parallel processingParallel processing
Parallel processing
 
Parallel Processing Concepts
Parallel Processing Concepts Parallel Processing Concepts
Parallel Processing Concepts
 
Lecture 1 introduction to parallel and distributed computing
Lecture 1   introduction to parallel and distributed computingLecture 1   introduction to parallel and distributed computing
Lecture 1 introduction to parallel and distributed computing
 
Distributed system architecture
Distributed system architectureDistributed system architecture
Distributed system architecture
 
Distributed operating system
Distributed operating systemDistributed operating system
Distributed operating system
 

Similar to Parallel processing

18 parallel processing
18 parallel processing18 parallel processing
18 parallel processingdilip kumar
 
Week 13-14 Parrallel Processing-new.pptx
Week 13-14 Parrallel Processing-new.pptxWeek 13-14 Parrallel Processing-new.pptx
Week 13-14 Parrallel Processing-new.pptxFaizanSaleem81
 
chapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).pptchapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).pptNANDHINIS109942
 
Operating system 20 threads
Operating system 20 threadsOperating system 20 threads
Operating system 20 threadsVaibhav Khanna
 
Module2 MultiThreads.ppt
Module2 MultiThreads.pptModule2 MultiThreads.ppt
Module2 MultiThreads.pptshreesha16
 
Hardware Multithreading.pdf
Hardware Multithreading.pdfHardware Multithreading.pdf
Hardware Multithreading.pdfrajaratna4
 
Multicore processor.pdf
Multicore processor.pdfMulticore processor.pdf
Multicore processor.pdfrajaratna4
 
chapter4-processes nd processors in DS.ppt
chapter4-processes nd processors in DS.pptchapter4-processes nd processors in DS.ppt
chapter4-processes nd processors in DS.pptaakarshsiwani1
 
CSA unit5.pptx
CSA unit5.pptxCSA unit5.pptx
CSA unit5.pptxAbcvDef
 
Parallel and Distributed Computing chapter 3
Parallel and Distributed Computing chapter 3Parallel and Distributed Computing chapter 3
Parallel and Distributed Computing chapter 3AbdullahMunir32
 

Similar to Parallel processing (20)

parallel-processing.ppt
parallel-processing.pptparallel-processing.ppt
parallel-processing.ppt
 
18 parallel processing
18 parallel processing18 parallel processing
18 parallel processing
 
Parallel processing extra
Parallel processing extraParallel processing extra
Parallel processing extra
 
Lecture4
Lecture4Lecture4
Lecture4
 
Week 13-14 Parrallel Processing-new.pptx
Week 13-14 Parrallel Processing-new.pptxWeek 13-14 Parrallel Processing-new.pptx
Week 13-14 Parrallel Processing-new.pptx
 
parallel processing.ppt
parallel processing.pptparallel processing.ppt
parallel processing.ppt
 
chapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).pptchapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).ppt
 
Operating system 20 threads
Operating system 20 threadsOperating system 20 threads
Operating system 20 threads
 
OS_MD_4.pdf
OS_MD_4.pdfOS_MD_4.pdf
OS_MD_4.pdf
 
unit 4.pptx
unit 4.pptxunit 4.pptx
unit 4.pptx
 
unit 4.pptx
unit 4.pptxunit 4.pptx
unit 4.pptx
 
Threads.ppt
Threads.pptThreads.ppt
Threads.ppt
 
Module2 MultiThreads.ppt
Module2 MultiThreads.pptModule2 MultiThreads.ppt
Module2 MultiThreads.ppt
 
Hardware Multithreading.pdf
Hardware Multithreading.pdfHardware Multithreading.pdf
Hardware Multithreading.pdf
 
Multicore processor.pdf
Multicore processor.pdfMulticore processor.pdf
Multicore processor.pdf
 
CA UNIT IV.pptx
CA UNIT IV.pptxCA UNIT IV.pptx
CA UNIT IV.pptx
 
chapter4-processes nd processors in DS.ppt
chapter4-processes nd processors in DS.pptchapter4-processes nd processors in DS.ppt
chapter4-processes nd processors in DS.ppt
 
22CS201 COA
22CS201 COA22CS201 COA
22CS201 COA
 
CSA unit5.pptx
CSA unit5.pptxCSA unit5.pptx
CSA unit5.pptx
 
Parallel and Distributed Computing chapter 3
Parallel and Distributed Computing chapter 3Parallel and Distributed Computing chapter 3
Parallel and Distributed Computing chapter 3
 

More from Shivalik college of engineering

More from Shivalik college of engineering (20)

Front pages of practical file
Front pages of practical fileFront pages of practical file
Front pages of practical file
 
Algorithms Question bank
Algorithms Question bankAlgorithms Question bank
Algorithms Question bank
 
Video streaming
Video streamingVideo streaming
Video streaming
 
Infosystestpattern
InfosystestpatternInfosystestpattern
Infosystestpattern
 
Mydbms
MydbmsMydbms
Mydbms
 
Introduction to xml
Introduction to xmlIntroduction to xml
Introduction to xml
 
Net overview
Net overviewNet overview
Net overview
 
java vs C#
java vs C#java vs C#
java vs C#
 
stack presentation
stack presentationstack presentation
stack presentation
 
sear
searsear
sear
 
Dbms lab file format front page
Dbms lab file format front pageDbms lab file format front page
Dbms lab file format front page
 
Question bank toafl
Question bank toaflQuestion bank toafl
Question bank toafl
 
computer architecture.
computer architecture.computer architecture.
computer architecture.
 
SQA presenatation made by krishna ballabh gupta
SQA presenatation made by krishna ballabh guptaSQA presenatation made by krishna ballabh gupta
SQA presenatation made by krishna ballabh gupta
 
Webapplication ppt prepared by krishna ballabh gupta
Webapplication ppt prepared by krishna ballabh guptaWebapplication ppt prepared by krishna ballabh gupta
Webapplication ppt prepared by krishna ballabh gupta
 
Cloud computing prepare by krishna ballabh gupta
Cloud computing prepare by krishna ballabh guptaCloud computing prepare by krishna ballabh gupta
Cloud computing prepare by krishna ballabh gupta
 
Cloud computing kb gupta
Cloud computing kb guptaCloud computing kb gupta
Cloud computing kb gupta
 
comparing windows and linux ppt
comparing windows and linux pptcomparing windows and linux ppt
comparing windows and linux ppt
 
Gsm an introduction....
Gsm an introduction....Gsm an introduction....
Gsm an introduction....
 
Gsm an introduction....
Gsm an introduction....Gsm an introduction....
Gsm an introduction....
 

Recently uploaded

Introducing Microsoft’s new Enterprise Work Management (EWM) Solution
Introducing Microsoft’s new Enterprise Work Management (EWM) SolutionIntroducing Microsoft’s new Enterprise Work Management (EWM) Solution
Introducing Microsoft’s new Enterprise Work Management (EWM) SolutionOnePlan Solutions
 
Devoxx UK 2024 - Going serverless with Quarkus, GraalVM native images and AWS...
Devoxx UK 2024 - Going serverless with Quarkus, GraalVM native images and AWS...Devoxx UK 2024 - Going serverless with Quarkus, GraalVM native images and AWS...
Devoxx UK 2024 - Going serverless with Quarkus, GraalVM native images and AWS...Bert Jan Schrijver
 
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...Steffen Staab
 
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️Delhi Call girls
 
%+27788225528 love spells in Colorado Springs Psychic Readings, Attraction sp...
%+27788225528 love spells in Colorado Springs Psychic Readings, Attraction sp...%+27788225528 love spells in Colorado Springs Psychic Readings, Attraction sp...
%+27788225528 love spells in Colorado Springs Psychic Readings, Attraction sp...masabamasaba
 
WSO2CON2024 - It's time to go Platformless
WSO2CON2024 - It's time to go PlatformlessWSO2CON2024 - It's time to go Platformless
WSO2CON2024 - It's time to go PlatformlessWSO2
 
%in kempton park+277-882-255-28 abortion pills for sale in kempton park
%in kempton park+277-882-255-28 abortion pills for sale in kempton park %in kempton park+277-882-255-28 abortion pills for sale in kempton park
%in kempton park+277-882-255-28 abortion pills for sale in kempton park masabamasaba
 
OpenChain - The Ramifications of ISO/IEC 5230 and ISO/IEC 18974 for Legal Pro...
OpenChain - The Ramifications of ISO/IEC 5230 and ISO/IEC 18974 for Legal Pro...OpenChain - The Ramifications of ISO/IEC 5230 and ISO/IEC 18974 for Legal Pro...
OpenChain - The Ramifications of ISO/IEC 5230 and ISO/IEC 18974 for Legal Pro...Shane Coughlan
 
%in Hazyview+277-882-255-28 abortion pills for sale in Hazyview
%in Hazyview+277-882-255-28 abortion pills for sale in Hazyview%in Hazyview+277-882-255-28 abortion pills for sale in Hazyview
%in Hazyview+277-882-255-28 abortion pills for sale in Hazyviewmasabamasaba
 
%+27788225528 love spells in new york Psychic Readings, Attraction spells,Bri...
%+27788225528 love spells in new york Psychic Readings, Attraction spells,Bri...%+27788225528 love spells in new york Psychic Readings, Attraction spells,Bri...
%+27788225528 love spells in new york Psychic Readings, Attraction spells,Bri...masabamasaba
 
Define the academic and professional writing..pdf
Define the academic and professional writing..pdfDefine the academic and professional writing..pdf
Define the academic and professional writing..pdfPearlKirahMaeRagusta1
 
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️Delhi Call girls
 
%in kaalfontein+277-882-255-28 abortion pills for sale in kaalfontein
%in kaalfontein+277-882-255-28 abortion pills for sale in kaalfontein%in kaalfontein+277-882-255-28 abortion pills for sale in kaalfontein
%in kaalfontein+277-882-255-28 abortion pills for sale in kaalfonteinmasabamasaba
 
WSO2CON 2024 - Cloud Native Middleware: Domain-Driven Design, Cell-Based Arch...
WSO2CON 2024 - Cloud Native Middleware: Domain-Driven Design, Cell-Based Arch...WSO2CON 2024 - Cloud Native Middleware: Domain-Driven Design, Cell-Based Arch...
WSO2CON 2024 - Cloud Native Middleware: Domain-Driven Design, Cell-Based Arch...WSO2
 
WSO2CON 2024 - Does Open Source Still Matter?
WSO2CON 2024 - Does Open Source Still Matter?WSO2CON 2024 - Does Open Source Still Matter?
WSO2CON 2024 - Does Open Source Still Matter?WSO2
 
Crypto Cloud Review - How To Earn Up To $500 Per DAY Of Bitcoin 100% On AutoP...
Crypto Cloud Review - How To Earn Up To $500 Per DAY Of Bitcoin 100% On AutoP...Crypto Cloud Review - How To Earn Up To $500 Per DAY Of Bitcoin 100% On AutoP...
Crypto Cloud Review - How To Earn Up To $500 Per DAY Of Bitcoin 100% On AutoP...SelfMade bd
 
tonesoftg
tonesoftgtonesoftg
tonesoftglanshi9
 
VTU technical seminar 8Th Sem on Scikit-learn
VTU technical seminar 8Th Sem on Scikit-learnVTU technical seminar 8Th Sem on Scikit-learn
VTU technical seminar 8Th Sem on Scikit-learnAmarnathKambale
 

Recently uploaded (20)

Introducing Microsoft’s new Enterprise Work Management (EWM) Solution
Introducing Microsoft’s new Enterprise Work Management (EWM) SolutionIntroducing Microsoft’s new Enterprise Work Management (EWM) Solution
Introducing Microsoft’s new Enterprise Work Management (EWM) Solution
 
Devoxx UK 2024 - Going serverless with Quarkus, GraalVM native images and AWS...
Devoxx UK 2024 - Going serverless with Quarkus, GraalVM native images and AWS...Devoxx UK 2024 - Going serverless with Quarkus, GraalVM native images and AWS...
Devoxx UK 2024 - Going serverless with Quarkus, GraalVM native images and AWS...
 
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...
 
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
 
%+27788225528 love spells in Colorado Springs Psychic Readings, Attraction sp...
%+27788225528 love spells in Colorado Springs Psychic Readings, Attraction sp...%+27788225528 love spells in Colorado Springs Psychic Readings, Attraction sp...
%+27788225528 love spells in Colorado Springs Psychic Readings, Attraction sp...
 
WSO2CON2024 - It's time to go Platformless
WSO2CON2024 - It's time to go PlatformlessWSO2CON2024 - It's time to go Platformless
WSO2CON2024 - It's time to go Platformless
 
%in kempton park+277-882-255-28 abortion pills for sale in kempton park
%in kempton park+277-882-255-28 abortion pills for sale in kempton park %in kempton park+277-882-255-28 abortion pills for sale in kempton park
%in kempton park+277-882-255-28 abortion pills for sale in kempton park
 
Microsoft AI Transformation Partner Playbook.pdf
Microsoft AI Transformation Partner Playbook.pdfMicrosoft AI Transformation Partner Playbook.pdf
Microsoft AI Transformation Partner Playbook.pdf
 
OpenChain - The Ramifications of ISO/IEC 5230 and ISO/IEC 18974 for Legal Pro...
OpenChain - The Ramifications of ISO/IEC 5230 and ISO/IEC 18974 for Legal Pro...OpenChain - The Ramifications of ISO/IEC 5230 and ISO/IEC 18974 for Legal Pro...
OpenChain - The Ramifications of ISO/IEC 5230 and ISO/IEC 18974 for Legal Pro...
 
%in Hazyview+277-882-255-28 abortion pills for sale in Hazyview
%in Hazyview+277-882-255-28 abortion pills for sale in Hazyview%in Hazyview+277-882-255-28 abortion pills for sale in Hazyview
%in Hazyview+277-882-255-28 abortion pills for sale in Hazyview
 
%+27788225528 love spells in new york Psychic Readings, Attraction spells,Bri...
%+27788225528 love spells in new york Psychic Readings, Attraction spells,Bri...%+27788225528 love spells in new york Psychic Readings, Attraction spells,Bri...
%+27788225528 love spells in new york Psychic Readings, Attraction spells,Bri...
 
Define the academic and professional writing..pdf
Define the academic and professional writing..pdfDefine the academic and professional writing..pdf
Define the academic and professional writing..pdf
 
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
call girls in Vaishali (Ghaziabad) 🔝 >༒8448380779 🔝 genuine Escort Service 🔝✔️✔️
 
%in kaalfontein+277-882-255-28 abortion pills for sale in kaalfontein
%in kaalfontein+277-882-255-28 abortion pills for sale in kaalfontein%in kaalfontein+277-882-255-28 abortion pills for sale in kaalfontein
%in kaalfontein+277-882-255-28 abortion pills for sale in kaalfontein
 
WSO2CON 2024 - Cloud Native Middleware: Domain-Driven Design, Cell-Based Arch...
WSO2CON 2024 - Cloud Native Middleware: Domain-Driven Design, Cell-Based Arch...WSO2CON 2024 - Cloud Native Middleware: Domain-Driven Design, Cell-Based Arch...
WSO2CON 2024 - Cloud Native Middleware: Domain-Driven Design, Cell-Based Arch...
 
WSO2CON 2024 - Does Open Source Still Matter?
WSO2CON 2024 - Does Open Source Still Matter?WSO2CON 2024 - Does Open Source Still Matter?
WSO2CON 2024 - Does Open Source Still Matter?
 
Crypto Cloud Review - How To Earn Up To $500 Per DAY Of Bitcoin 100% On AutoP...
Crypto Cloud Review - How To Earn Up To $500 Per DAY Of Bitcoin 100% On AutoP...Crypto Cloud Review - How To Earn Up To $500 Per DAY Of Bitcoin 100% On AutoP...
Crypto Cloud Review - How To Earn Up To $500 Per DAY Of Bitcoin 100% On AutoP...
 
tonesoftg
tonesoftgtonesoftg
tonesoftg
 
Abortion Pill Prices Tembisa [(+27832195400*)] 🏥 Women's Abortion Clinic in T...
Abortion Pill Prices Tembisa [(+27832195400*)] 🏥 Women's Abortion Clinic in T...Abortion Pill Prices Tembisa [(+27832195400*)] 🏥 Women's Abortion Clinic in T...
Abortion Pill Prices Tembisa [(+27832195400*)] 🏥 Women's Abortion Clinic in T...
 
VTU technical seminar 8Th Sem on Scikit-learn
VTU technical seminar 8Th Sem on Scikit-learnVTU technical seminar 8Th Sem on Scikit-learn
VTU technical seminar 8Th Sem on Scikit-learn
 

Parallel processing

  • 3. Multiple Processor Organization • Single instruction, single data stream – SISD • Single instruction, multiple data stream – SIMD • Multiple instruction, single data stream – MISD • Multiple instruction, multiple data stream- MIMD
  • 4. Single Instruction, Single Data Stream - SISD • Single processor • Single instruction stream • Data stored in single memory
  • 5. Single Instruction, Multiple Data Stream - SIMD • Single machine instruction — Each instruction executed on different set of data by different processors • Number of processing elements — Machine controls simultaneous execution – Lockstep basis — Each processing element has associated data memory • Application: Vector and array processing
  • 6. Multiple Instruction, Single Data Stream - MISD • Sequence of data • Transmitted to set of processors • Each processor executes different instruction sequence • Not clear if it has ever been implemented
  • 7. Multiple Instruction, Multiple Data Stream- MIMD • Set of processors • Simultaneously executes different instruction sequences • Different sets of data • Examples: SMPs, NUMA systems, and Clusters
  • 8. Taxonomy of Parallel Processor Architectures
  • 9. Block Diagram of Tightly Coupled Multiprocessor • Processors share memory • Communicate via that shared memory
  • 11. Symmetric Multiprocessors • A stand alone computer with the following characteristics — Two or more similar processors of comparable capacity — Processors share same memory and I/O — Processors are connected by a bus or other internal connection — Memory access time is approximately the same for each processor — All processors share access to I/O – Either through same channels or different channels giving paths to same devices — All processors can perform the same functions (hence symmetric) — System controlled by integrated operating system – providing interaction between processors – Interaction at job, task, file and data element levels
  • 13. SMP Advantages • Performance —If some work can be done in parallel • Availability —Since all processors can perform the same functions, failure of a single processor does not halt the system • Incremental growth —User can enhance performance by adding additional processors • Scaling —Vendors can offer range of products based on number of processors
  • 14. Cache Coherence Problems Popular solution - Snoopy Protocol • Distribute cache coherence responsibility among cache controllers • Cache recognizes that a line is shared • Updates announced to other caches
  • 15. Loosely Coupled - Clusters • Collection of independent whole uniprocessors or SMPs — Usually called nodes • Interconnected to form a cluster • Working together as unified resource — Illusion of being one machine • Communication via fixed path or network connections
  • 17. Cluster Benefits • Absolute scalability • Incremental scalability • High availability • Superior price/performance
  • 19. Cluster v. SMP • Both provide multiprocessor support to high demand applications. • Both available commercially • SMP: —Easier to manage and control —Closer to single processor systems – Scheduling is main difference – Less physical space – Lower power consumption • Clustering: —Superior incremental & absolute scalability —Less cost —Superior availability – Redundancy
  • 20. Nonuniform Memory Access (NUMA) (Tightly coupled) • Alternative to SMP & Clusters • Nonuniform memory access — All processors have access to all parts of memory – Using load & store — Access time of processor differs depending on region of memory – Different processors access different regions of memory at different speeds • Cache coherent NUMA ? — Cache coherence is maintained among the caches of the various processors — Significantly different from SMP and Clusters
  • 21. Motivation • SMP has practical limit to number of processors —Bus traffic limits to between 16 and 64 processors • In clusters each node has own memory —Apps do not see large global memory —Coherence maintained by software not hardware • NUMA retains SMP flavour while giving large scale multiprocessing • Objective is to maintain transparent system wide memory while permitting multiprocessor nodes, each with own bus or internal interconnection system
  • 23. NUMA Pros & Cons • Possibly effective performance at higher levels of parallelism than one SMP • Not very supportive of software changes • Performance can breakdown if too much access to remote memory —Can be avoided by: – L1 & L2 cache design reducing all memory access + Need good temporal locality of software • Not transparent —Page allocation, process allocation and load balancing changes can be difficult • Availability?
  • 24. Multithreading • Instruction stream divided into smaller streams (threads) • Executed in parallel • There are a wide variety of multithreading designs
  • 25. Definitions of Threads and Processes • Threads in multithreaded processors may or may not be same as software threads • Process: — An instance of program running on computer • Thread: dispatchable unit of work within process — Includes processor context (which includes the program counter and stack pointer) and data area for stack — Thread executes sequentially — Interruptible: processor can turn to another thread • Thread switch — Switching processor between threads within same process — Typically less costly than process switch
  • 26. Implicit and Explicit Multithreading • All commercial processors and most experimental ones use explicit multithreading —Concurrently execute instructions from different explicit threads —Interleave instructions from different threads on shared pipelines or parallel execution on parallel pipelines • Implicit multithreading is concurrent execution of multiple threads extracted from single sequential program —Implicit threads defined statically by compiler or dynamically by hardware
  • 27. Approaches to Explicit Multithreading • Interleaved — Fine-grained — Processor deals with two or more thread contexts at a time — Switching thread at each clock cycle — If thread is blocked it is skipped • Blocked — Coarse-grained — Thread executed until event causes delay — E.g. cache miss — Effective on in-order processor — Avoids pipeline stall • Simultaneous (SMT) — Instructions simultaneously issued from multiple threads to execution units of superscalar processor • Chip multiprocessing — Processor is replicated on a single chip — Each processor handles separate threads
  • 28. Scalar Processor Approaches • Single-threaded scalar —Simple pipeline —No multithreading • Interleaved multithreaded scalar —Easiest multithreading to implement —Switch threads at each clock cycle —Pipeline stages kept close to fully occupied —Hardware needs to switch thread context between cycles • Blocked multithreaded scalar —Thread executed until latency event occurs —Would stop pipeline —Processor switches to another thread
  • 30. Multiple Instruction Issue Processors • Superscalar — No multithreading • Interleaved multithreading superscalar: — Each cycle, as many instructions as possible issued from single thread — Delays due to thread switches eliminated — Number of instructions issued in cycle limited by dependencies • Blocked multithreaded superscalar — Instructions from one thread — Blocked multithreading used
  • 32. Multiple Instruction Issue Processors • Very long instruction word (VLIW) —E.g. IA-64 —Multiple instructions in single word —Typically constructed by compiler —Operations may be executed in parallel in same word —May pad with no-ops • Interleaved multithreading VLIW —Similar efficiencies to interleaved multithreading on superscalar architecture • Blocked multithreaded VLIW —Similar efficiencies to blocked multithreading on superscalar architecture
  • 34. Parallel, Simultaneous Execution of Multiple Threads • Simultaneous multithreading —Issue multiple instructions at a time —One thread may fill all horizontal slots —Instructions from two or more threads may be issued —With enough threads, can issue maximum number of instructions on each cycle • Chip multiprocessor —Multiple processors —Each has two-issue superscalar processor —Each processor is assigned thread – Can issue up to two instructions per cycle per thread
  • 36. Examples • Some Pentium 4 (single processor) —Intel calls it hyperthreading —SMT with support for two threads —Single multithreaded processor, logically two processors • IBM Power5 —High-end PowerPC —Combines chip multiprocessing with SMT —Chip has two separate processors —Each supporting two threads concurrently using SMT