SlideShare una empresa de Scribd logo
1 de 20
Descargar para leer sin conexión
© 2014
Permanent Wafer
Bonding
Application trends & Technology
Brewer Science
© 2014• 2
Table of content
• Table of contents……………………………...2
• Objectives of the Study………………………4
• Definition, limitations & Methodology……..6
• 2011 vs. 2014 Analysis Comparison……….7
• Companies Cited in the Report…………..…9
• Glossary…………………………………….…..10
• Executive summary…………………………..12
– Methodology and Key Trends
– Bonding technologies vs Applications
2013-2019 Number of wafers bonded (wspy
– Permanent Bonding Market Forecasts
(in wafer eq., $M)
• by Technology
• by Application
– Permanent Bonder Market Forecasts
(in Unit of Production)
– Breakdown by End Applications
– in 8 eq wspy
• in 8 eq wspy
• in $M
– Permanent Bonding Equipment suppliers’ Market
Share ………….………………………………..36
• Introduction, Definitions & Scope of the Report ..59
– Overview of the permanent bonding
technologies……………………………….64
•
• Main Players involved Permanent Bonding
Equipment ………………………………………..……67
• 2013-2019 Detailed Permanent Bonding
Market Forecast by Wafer bonding process……..71
– Permanent Bonding Market Forecasts by
technology (in $M)
– Permanent Bonding Market Forecasts by
technology (in wafer eq.)
– Fusion bonding…………..............................84
– Anodic bonding……………………………….109
– Glass frit bonding……………………………129
– Adhesive bonding……………………………139
– Eutectic Bonding……………………………..153
– Transit Liquid Phase bonding………………164
– Cu-Cu @ Room Temp bonding……………..175
– Thermo-compression bonding………………185
• 2013-2019 Detailed Permanent Bonding Forecast by
Applications…………………….……195
– MEMS devices…………………….208
– Advanced Packaging……..……..227
• CMOS Image Sensors
• 3D Stack TSV
– LED devices……………………….264
– SOI substrate………………………285
• Conclusions & Perspectives……………….300
• Company Presentation………………….…..305
© 2014• 3
Objectives of the study
• This is the second research update on this market after our first report published in 2011 on
Permanent bonding technologies
• We described the main applications that require permanent bonding processes and as well as the
types of permanent bonding technologies
• Market Research Scope: the objectives of the report are:
– Give the detailed information regarding permanent bonding processes
– Describe the main applications using permanent bonding processes
– To provide an overview of the technological trends and applications that use permanent bonding processes
– To provide a forecast for the next five years, and predict future trends for permanent bonding
• Overview of the permanent bonding used in MEMS, Advanced Packaging, LED and SOI substrate applications
• Evaluate market developments in terms of market size
• in wafers, number of chambers, value in $M by application, by permanent bonding technology and wafer size
• We have focused on the following permanent bonding applications
– MEMS
– Advanced Packaging
– LED
– SOI substrate
• We have not included:
– PV applications
– C2W approach
– Advanced Substrate such as SSOI, SGOI, GOI
© 2014• 4
Wafer bonding
technologies
Without intermediate
layer
Fusion bonding/ direct
or molecular bonding
200°C<T<1100°C
Anodic bonding
350°C<T<450°C
With intermediate layer/
indirect
Insulating interlayer
Glass frit bonding
350°C<T<450°C
Adhesive bonding
T<150°C
Metal bonding
Cu-Cu/oxide hybrid
bonding at RT
Solder bonding
Eutectic bonding
300°C<T<450°C
Transit Liquid Phase
180°C<T<300°C
Thermo-compression
• Permanent Bonding technologies
– Throughout this report, we will address and cover the following permanent bonding
technologies
– Depending on the permanent bonding technology, the bonding step can be performed at
various temperatures
Wafer bonding technology
Overview
© 2014• 5
Scope of the Report
• Market scope:
– Permanent wafer bonding is used in a wide range of devices in the semiconductor industry.
– Advanced Substrate will not be covered in this report
Applications of permanent bonding technologies covered
MEMS
LED
packaging
(for HB-LED)
WLCapping
Advanced Packaging LED
CMOS Image
Sensor
LED carrier:
Bonding to
the carrier
Back-Side
Illumination
Capping Wafer
Level Packaging
3D Stack
TSV
SOI Substrate
© 2014• 6
MEMS
Advanced Packaging LED
SOICIS
TSV
stacks
Carrier HB-LED
BSI
Capping
WLP
Permane
nt
Bonding
Direct
bonding
Fusion
bonding
Anodic
Bonding
Indirect
bonding
Glass Frit
Bonding
Adhesive
bonding
Cu-Cu/ oxide
hybrid
bonding @RT
Solder
bonding:
Eutectic
Bonding
Solder
Bonding: TLP
Thermo-
compression
Bonding technologies vs Applications
Production
On development
© 2014• 7
WPSY MEMS
Advanced Packaging LED
SOICIS
TSV
stacks
Carrier HB-LED
BSI
Capping
WLP
Permane
nt
Bonding
Direct
bonding
Fusion
bonding
1.1M
8’’ eq
Anodic
Bonding
Indirect
bonding
Glass Frit
Bonding
Adhesive
bonding
199 k
8’’ eq
Cu-Cu/ oxide
hybrid
bonding @RT
0 k
8’’ eq
Solder
bonding:
Eutectic
Bonding
Solder
Bonding: TLP
Thermo-
compression
Bonding technologies vs Applications
2013 Number of wafers bonded (wspy) 8 inch eq
© 2014• 8
0
2 000
4 000
6 000
8 000
10 000
12 000
14 000
16 000
18 000
2013 2014 2015 2016 2017 2018 2019
Numberofwafers(8incheq)
Wafer Forecast by Application (in 8 inch eq)
(Report includes detailed breakdown for the following applications: 3D TSV stack, CIS BSI, CIS capping WLP, LED
carrier, LED packaging, MEMS, SOI)
Yole Developpement © April 2014
Wafer Forecast by Permanent Bonding
Technology (in 8 inch eq)
© 2014• 9
2013 Overall Market Share for Permanent Bonding
• The Permanent Bonding business is fragmented into EVG, SUSS MicroTec and TEL
• EVG, SUSS, TEL today concentrate almost 80% of the permanent bonding equipment
market by focusing on MEMS devices, Advanced Packaging (CIS BSI, WLP, 3D
Stacks), applications
Market share extracted from the preivous
report Permanent bonding in 2011
Market share assessed in 2013
2013 market share breakdown by permanent bonding
equipment supplier (in M$)
(Report includes detailed breakdown for each supplier)
© 2014• 10
More slides extracts
© 2014• 11
Who Should Be Interested in This Report?
• Equipment & Materials suppliers
– Identify new business opportunities and prospects
– Understand the differentiated value of your products and technologies in this market
– Identify technology trends, challenges and precise requirements related to permanent
bonding
– Evaluate market potential of your permanent bonding technologies
– Position your company in the market
– Monitor and benchmark your competitors
• IDMs, CMOS foundries & OSAT players
– Understand technology trends related to permanent bonding applied in the MEMS, LED, CIS
and Advanced Packaging applications
– Spot new opportunities and define diversification strategies
• R&D organizations & Investors
– Monitor the global activity and consolidation currently happening in the semiconductor
equipment & material business in order to identify new partners, targets and make the right
decisions before committing to one particular supplier
© 2014• 12
About the author of this report
Amandine Pizzagalli
– Amandine is in charge of equipment & material fields for the Advanced
Packaging & Manufacturing team at Yole Développement after graduating as an
engineer in Electronics, with a specialization in Semiconductors and Nano
Electronics Technologies. She worked in the past for Air Liquide with an
emphasis on CVD and ALD processes for semiconductor applications.
Contact: pizzagalli@yole.fr
© 2014• 13
Companies Cited in this Report
AMD, AML, Applied Materials, Avago, Bosch, Discera, EVG, Infineon,
Invensense, Lemoptix, Luxtera, Mitsubishi Heavy Industries, Murata /
VTI, Nemotek, OSRAM, PlanOptik, Samsung, Sensonor, SOITEC, ST
Micro, SUSS MicroTec, Sony, Teledyne/Dalsa, Tokyo Electron, Ziptronix,
IMEC, Leti, Texas Instruments, Tezzaron, WiSpry, Ziptronix, and more…
© 2014• 14
Our latest market reports…
N
o
k
i
a
3D IC Business Update
2014
MEMS Front End
Manufacturing
Flexible & Printed
Electronics
Coming
Soon
3D IC Equipment & Materials
2014
FO WLP & Embedded Die Packages
2014
© 2014• 15
Yole Developpement
Company Presentation
© 2014• 16
Yole Activities
MEDIA
News portal/Technology magazines/
Webcasts/Communication services
REPORTS
Market & technology/Patent
Investigation/Reverse costing
CONSULTING
Market research/Technology
& Strategy/Patent Investigation/
Reverse costing
www.yole.fr
www.yolefinance.fr
YOLE FINANCE
M&A/ Due Diligence/ Fundraising/
Technology brokerage
SISTER COMPANY
Reverse engineering & costing/
Cost simulation tools
© 2014• 17
Supporting the Entire Value Chain
 Yole consultants provide Market Analysis, Technology Evaluation and
Business Plan Assessment for clients along the entire value chain
Institutions
Investors
&
Advocates
Integrators
&
End Users
Device
&
Module
Makers
Material
&
Equipment
Suppliers
© 2014• 18
For More Information…
Please take a look at our websites:
www.yole.fr
Yole Développement corporate website
www.i-micronews.com
News Portal - free online registration to our publications
www.systemplus.fr
Sister company; expert in teardown & reverse costing analysis
www.yolefinance.com
Separate Yole business unit dedicated to financial services
Follow us on
© 2014• 19
Our Offices & Contact Information
Europe Office
• Jean-Christophe Eloy, CEO,
Tel: 33 472 83 01 80, Email: eloy@yole.fr
• Faycal Khamassi, Headquarter Sales Coordination & Customer Service,
Tel: 33 472 83 01 95, Email: khamassi@yole.fr
• David Jourdan, Headquarter Sales Coordination & Customer Service,
Tel: 33 472 83 01 90, Email: jourdan@yole.fr
USA Office
• Michael McLaughlin, Business Development Manager,
Phone: (650) 931 2552 - Cell: (408) 839 7178 - Email: mclaughlin@yole.fr
• Jeff Edwards, Sales Associate, Yole Inc., Cell: (972) 333 0986- Email: edwards@yole.fr
Japan Office
• For custom research: Yutaka Katano, General Manager, Yole Japan & President, Yole K.K.
Phone: (81) 362 693 457 - Cell: (81) 80 3440 6466 - Fax: (81) 362 693 448 - Email: katano@yole.fr
• For reports business: Takashi Onozawa, Sales Asia & General Manager, Yole K.K.
Email: onozawa@yole.fr
Korea Office
• Hailey Yang, Business Development Manager
Phone : (82) 2 2010 883 - Cell: (82) 10 4097 5810 - Fax: (82) 2 2010 8899 - Email: yang@yole.fr
ORDER FORM
Permanent Wafer Bonding for Semiconductor
SHIPPING CONTACT
First Name:
Email:
Last Name:
Phone:
PAYMENT
BY CREDIT CARD
	 Visa 	 Mastercard	 Amex
Name of the Card Holder:
Credit Card Number:
Card Verification
Value (3 digits except AMEX: 4 digits):
Expiration date:
BY BANK TRANSFER
BANK INFO: HSBC, 1 place de la Bourse,
F-69002 Lyon, France,
Bank code: 30056, Branch code : 00170
Account No: 0170 200 1565  87,
SWIFT or BIC code: CCFRFRPP,
IBAN: FR76 3005 6001 7001 7020 0156 587
RETURN ORDER BY
• FAX: +33 (0)472 83 01 83
• MAIL: YOLE DÉVELOPPEMENT, Le Quartz,
75 Cours Emile Zola, 69100 Villeurbanne/Lyon - France
SALES CONTACTS
• North America: Michael McLaughlin - mclaughlin@yole.fr
• Asia: Takashi Onozawa - onozawa@yole.fr
• Europe  RoW: Jean-Christophe Eloy - eloy@yole.fr
• Korea: Hailey Yang - yang@yole.fr
• General: info@yole.fr
(1)
Our Terms and Conditions of Sale are available at
www.yole.fr/Terms_and_Conditions_of_Sale.aspx
The present document is valid 24 months after its publishing date:
April 16st
, 2014
/
ABOUT YOLE DEVELOPPEMENT
BILL TO
Name (Mr/Ms/Dr/Pr):
Job Title:
Company:
Address:
City:
State:
Postcode/Zip:
Country*:
*VAT ID Number for EU members:
Tel:
Email:
Date:
PRODUCT ORDER
Please enter my order for above named report :
	 One user license*: Euro 3,990
	 Multi user license: Euro 5,990
For price in dollars, please use the day’s exchange rate. All
reports are delivered electronically at payment reception. For
French customers, add 20% for VAT
I hereby accept Yole Développement’s Terms and Conditions of Sale(1)
Signature:
*One user license means only one person at the company can use the report. Please
be aware that our publication will be watermarked on each page with the name of the
recipient and of the organization (the name mentioned on the PO). This watermark
will also mention that the report sharing is not allowed.
Founded in 1998, Yole Développement has grown to become a group of companies providing marketing, technology and strategy consulting, media
in addition to corporate finance services. With a strong focus on emerging applications using silicon and/or micro manufacturing (technology or
process), Yole Développement group has expanded to include more than 50 associates worldwide covering MEMS, Compound Semiconductors, LED,
Image Sensors, Optoelectronics, Microfluidics  Medical, Photovoltaics, Advanced Packaging, Manufacturing, Nanomaterials and Power Electronics.
The group supports industrial companies, investors and RD organizations worldwide to help them understand markets and follow technology trends
to develop their business.
MEDIA  EVENTS
• i-Micronews.com, online disruptive technologies website
• @Micronews, weekly e-newsletter
• Technology Magazines dedicated to MEMS, Advanced Packaging,
	 LED and Power Electronics
• Communication  webcasts services
• Events: Yole Seminars, Market Briefings…
More information on www.i-micronews.com
CONTACTS
For more information about :
• Consulting Services: Jean-Christophe Eloy (eloy@yole.fr)
• Financial Services: Géraldine Andrieux-Gustin (andrieux@yole.fr)
• Report Business: David Jourdan (jourdan@yole.fr)
• Corporate Communication: Sandrine Leroy (leroy@yole.fr)
CONSULTING
• Market data  research, marketing analysis
• Technology analysis
• Reverse engineering  costing services
• Strategy consulting
• Patent analysis
More information on www.yole.fr
REPORTS
• Collection of technology  market reports
• Manufacturing cost simulation tools
• Component reverse engineering  costing
	analysis
• Patent investigation
More information on www.i-micronews.com/reports
FINANCIAL SERVICES
• Mergers  Acquisitions
• Due diligence
• Fundraising
• Coaching of emerging companies
• IP portfolio management  optimization
More information on www.yolefinance.com

Más contenido relacionado

La actualidad más candente

Mems and sensors packaging technology and trends presentation held by Amandin...
Mems and sensors packaging technology and trends presentation held by Amandin...Mems and sensors packaging technology and trends presentation held by Amandin...
Mems and sensors packaging technology and trends presentation held by Amandin...Yole Developpement
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Yole Developpement
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole DeveloppementYole Developpement
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...Yole Developpement
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Yole Developpement
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020Yole Developpement
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Yole Developpement
 
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement Yole Developpement
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Yole Developpement
 
Status of Advanced Packaging - 2017 Report by Yole Developpement
Status of Advanced Packaging - 2017 Report by Yole DeveloppementStatus of Advanced Packaging - 2017 Report by Yole Developpement
Status of Advanced Packaging - 2017 Report by Yole DeveloppementYole Developpement
 
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Yole Developpement
 
Surface mount technology(smt)
Surface mount technology(smt)Surface mount technology(smt)
Surface mount technology(smt)AshutoshKumar1262
 
Package on-package interconnect for fan-out wafer level packages
Package on-package interconnect for fan-out wafer level packagesPackage on-package interconnect for fan-out wafer level packages
Package on-package interconnect for fan-out wafer level packagesInvensas
 
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...Yole Developpement
 
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Yole Developpement
 
Power SiC 2018: Materials, Devices and Applications 2018 Report by Yole Devel...
Power SiC 2018: Materials, Devices and Applications 2018 Report by Yole Devel...Power SiC 2018: Materials, Devices and Applications 2018 Report by Yole Devel...
Power SiC 2018: Materials, Devices and Applications 2018 Report by Yole Devel...Yole Developpement
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1CHENHuiMei
 

La actualidad más candente (20)

Mems and sensors packaging technology and trends presentation held by Amandin...
Mems and sensors packaging technology and trends presentation held by Amandin...Mems and sensors packaging technology and trends presentation held by Amandin...
Mems and sensors packaging technology and trends presentation held by Amandin...
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020
 
Status of Advanced Packaging - 2017 Report by Yole Developpement
Status of Advanced Packaging - 2017 Report by Yole DeveloppementStatus of Advanced Packaging - 2017 Report by Yole Developpement
Status of Advanced Packaging - 2017 Report by Yole Developpement
 
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
 
WelcomePCB
WelcomePCBWelcomePCB
WelcomePCB
 
Surface mount technology(smt)
Surface mount technology(smt)Surface mount technology(smt)
Surface mount technology(smt)
 
Package on-package interconnect for fan-out wafer level packages
Package on-package interconnect for fan-out wafer level packagesPackage on-package interconnect for fan-out wafer level packages
Package on-package interconnect for fan-out wafer level packages
 
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
Power GaN 2019: Epitaxy, Devices, Applications and Technology Trends - Yole D...
 
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
 
Power SiC 2018: Materials, Devices and Applications 2018 Report by Yole Devel...
Power SiC 2018: Materials, Devices and Applications 2018 Report by Yole Devel...Power SiC 2018: Materials, Devices and Applications 2018 Report by Yole Devel...
Power SiC 2018: Materials, Devices and Applications 2018 Report by Yole Devel...
 
Pcb design
Pcb designPcb design
Pcb design
 
PCB Designing
PCB Designing PCB Designing
PCB Designing
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1
 

Destacado

Using Low Cost of Ownership Direct Bonding Technologies For MEMS Application
Using Low Cost of Ownership Direct Bonding Technologies For MEMS ApplicationUsing Low Cost of Ownership Direct Bonding Technologies For MEMS Application
Using Low Cost of Ownership Direct Bonding Technologies For MEMS ApplicationInvensas
 
Besi - TSV Summit 2015 - Handout
Besi - TSV Summit 2015 - HandoutBesi - TSV Summit 2015 - Handout
Besi - TSV Summit 2015 - HandoutHugo Pristauz
 
TPaper presentation
TPaper presentationTPaper presentation
TPaper presentationToya Amechi
 
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...Yole Developpement
 
Status of The Advanced Packaging Industry_Yole Développement report
Status of The Advanced Packaging Industry_Yole Développement reportStatus of The Advanced Packaging Industry_Yole Développement report
Status of The Advanced Packaging Industry_Yole Développement reportYole Developpement
 
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...Yole Developpement
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...Yole Developpement
 
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...Yole Developpement
 
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...Invensas
 
2015Cost Savings Opportunities for Semiconductor Assembly Process
2015Cost Savings Opportunities for Semiconductor Assembly Process2015Cost Savings Opportunities for Semiconductor Assembly Process
2015Cost Savings Opportunities for Semiconductor Assembly ProcessBill Kohnen
 
Tackling High Volume Production of 3DI
Tackling High Volume Production of 3DITackling High Volume Production of 3DI
Tackling High Volume Production of 3DIHugo Pristauz
 
Obsèques April Garanties
Obsèques April GarantiesObsèques April Garanties
Obsèques April GarantiesADPAssurances
 
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...Yole Developpement
 
PV Monitoring Business Analysis, Technology Trends and Players 2013 Report b...
PV Monitoring Business Analysis, Technology Trends and Players  2013 Report b...PV Monitoring Business Analysis, Technology Trends and Players  2013 Report b...
PV Monitoring Business Analysis, Technology Trends and Players 2013 Report b...Yole Developpement
 
LED phosphor patent investigation 2013 Report by Yole Developpement
LED phosphor patent investigation 2013 Report by Yole DeveloppementLED phosphor patent investigation 2013 Report by Yole Developpement
LED phosphor patent investigation 2013 Report by Yole DeveloppementYole Developpement
 

Destacado (15)

Using Low Cost of Ownership Direct Bonding Technologies For MEMS Application
Using Low Cost of Ownership Direct Bonding Technologies For MEMS ApplicationUsing Low Cost of Ownership Direct Bonding Technologies For MEMS Application
Using Low Cost of Ownership Direct Bonding Technologies For MEMS Application
 
Besi - TSV Summit 2015 - Handout
Besi - TSV Summit 2015 - HandoutBesi - TSV Summit 2015 - Handout
Besi - TSV Summit 2015 - Handout
 
TPaper presentation
TPaper presentationTPaper presentation
TPaper presentation
 
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
 
Status of The Advanced Packaging Industry_Yole Développement report
Status of The Advanced Packaging Industry_Yole Développement reportStatus of The Advanced Packaging Industry_Yole Développement report
Status of The Advanced Packaging Industry_Yole Développement report
 
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
 
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
Sensors and Data Management for Autonomous Vehicles report 2015 by Yole Devel...
 
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
Direct Bond Interconnect (DBI) Technology as an Alternative to Thermal Compre...
 
2015Cost Savings Opportunities for Semiconductor Assembly Process
2015Cost Savings Opportunities for Semiconductor Assembly Process2015Cost Savings Opportunities for Semiconductor Assembly Process
2015Cost Savings Opportunities for Semiconductor Assembly Process
 
Tackling High Volume Production of 3DI
Tackling High Volume Production of 3DITackling High Volume Production of 3DI
Tackling High Volume Production of 3DI
 
Obsèques April Garanties
Obsèques April GarantiesObsèques April Garanties
Obsèques April Garanties
 
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
Capella Microsystems CM3512 Ultraviolet Light Sensor teardown reverse costing...
 
PV Monitoring Business Analysis, Technology Trends and Players 2013 Report b...
PV Monitoring Business Analysis, Technology Trends and Players  2013 Report b...PV Monitoring Business Analysis, Technology Trends and Players  2013 Report b...
PV Monitoring Business Analysis, Technology Trends and Players 2013 Report b...
 
LED phosphor patent investigation 2013 Report by Yole Developpement
LED phosphor patent investigation 2013 Report by Yole DeveloppementLED phosphor patent investigation 2013 Report by Yole Developpement
LED phosphor patent investigation 2013 Report by Yole Developpement
 

Similar a Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 2014 Report by Yole Developpement

VCSELs Technology Industry and Market Trends
VCSELs Technology Industry and Market TrendsVCSELs Technology Industry and Market Trends
VCSELs Technology Industry and Market TrendsYole Developpement
 
Market & Technology Trends in Materials and Equipement for Printed and Flexib...
Market & Technology Trends in Materials and Equipement for Printed and Flexib...Market & Technology Trends in Materials and Equipement for Printed and Flexib...
Market & Technology Trends in Materials and Equipement for Printed and Flexib...Yole Developpement
 
Sapphire Applications & Market 2015 Report by Yole Developpement
Sapphire Applications & Market 2015 Report by Yole DeveloppementSapphire Applications & Market 2015 Report by Yole Developpement
Sapphire Applications & Market 2015 Report by Yole DeveloppementYole Developpement
 
Power SiC 2019: Materials, Devices, and Applications by Yole Développement
Power SiC 2019: Materials, Devices, and Applications by Yole DéveloppementPower SiC 2019: Materials, Devices, and Applications by Yole Développement
Power SiC 2019: Materials, Devices, and Applications by Yole DéveloppementYole Developpement
 
Emerging and Innovative Approaches in Photovoltaics 2014 Report by Yole Devel...
Emerging and Innovative Approaches in Photovoltaics 2014 Report by Yole Devel...Emerging and Innovative Approaches in Photovoltaics 2014 Report by Yole Devel...
Emerging and Innovative Approaches in Photovoltaics 2014 Report by Yole Devel...Yole Developpement
 
Silicon Photonics 2014 Report by Yole Developpement
Silicon Photonics 2014 Report by Yole DeveloppementSilicon Photonics 2014 Report by Yole Developpement
Silicon Photonics 2014 Report by Yole DeveloppementYole Developpement
 
Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays 201...
Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays 201...Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays 201...
Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays 201...Yole Developpement
 
Thin-Film Integrated Passive Devices
Thin-Film Integrated Passive DevicesThin-Film Integrated Passive Devices
Thin-Film Integrated Passive DevicesYole Developpement
 
VCSELs – Market and Technology Trends 2019 by Yole Développement
VCSELs – Market and Technology Trends 2019 by Yole DéveloppementVCSELs – Market and Technology Trends 2019 by Yole Développement
VCSELs – Market and Technology Trends 2019 by Yole DéveloppementYole Developpement
 
Silicon Photonics for Data Centers and Other Applications 2016 - Report by Yo...
Silicon Photonics for Data Centers and Other Applications 2016 - Report by Yo...Silicon Photonics for Data Centers and Other Applications 2016 - Report by Yo...
Silicon Photonics for Data Centers and Other Applications 2016 - Report by Yo...Yole Developpement
 
Silicon Photonics 2018 - Report by Yole Developpement
Silicon Photonics 2018 - Report by Yole Developpement Silicon Photonics 2018 - Report by Yole Developpement
Silicon Photonics 2018 - Report by Yole Developpement Yole Developpement
 
Light Shaping Technologies for Consumer and Automotive Applications 2019
Light Shaping Technologies for Consumer and Automotive Applications 2019Light Shaping Technologies for Consumer and Automotive Applications 2019
Light Shaping Technologies for Consumer and Automotive Applications 2019Yole Developpement
 
Status of the Solid-State Lighting Source Industry 2019 by Yole Développement
Status of the Solid-State Lighting Source Industry 2019 by Yole DéveloppementStatus of the Solid-State Lighting Source Industry 2019 by Yole Développement
Status of the Solid-State Lighting Source Industry 2019 by Yole DéveloppementYole Developpement
 
Piezoelectric Devices: From Bulk to Thin-Film 2019 report by Yole Développement
Piezoelectric Devices: From Bulk to Thin-Film 2019 report by Yole DéveloppementPiezoelectric Devices: From Bulk to Thin-Film 2019 report by Yole Développement
Piezoelectric Devices: From Bulk to Thin-Film 2019 report by Yole DéveloppementYole Developpement
 
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...Yole Developpement
 
Edge Emitting Lasers: Market and Technology Trends 2019 report by Yole Dévelo...
Edge Emitting Lasers: Market and Technology Trends 2019 report by Yole Dévelo...Edge Emitting Lasers: Market and Technology Trends 2019 report by Yole Dévelo...
Edge Emitting Lasers: Market and Technology Trends 2019 report by Yole Dévelo...Yole Developpement
 
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...Yole Developpement
 
LiFi - Technology, Industry, and Market Trends report by Yole Développement
LiFi - Technology, Industry, and Market Trends report by Yole DéveloppementLiFi - Technology, Industry, and Market Trends report by Yole Développement
LiFi - Technology, Industry, and Market Trends report by Yole DéveloppementYole Developpement
 

Similar a Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 2014 Report by Yole Developpement (20)

VCSELs Technology Industry and Market Trends
VCSELs Technology Industry and Market TrendsVCSELs Technology Industry and Market Trends
VCSELs Technology Industry and Market Trends
 
Market & Technology Trends in Materials and Equipement for Printed and Flexib...
Market & Technology Trends in Materials and Equipement for Printed and Flexib...Market & Technology Trends in Materials and Equipement for Printed and Flexib...
Market & Technology Trends in Materials and Equipement for Printed and Flexib...
 
Sapphire Applications & Market 2015 Report by Yole Developpement
Sapphire Applications & Market 2015 Report by Yole DeveloppementSapphire Applications & Market 2015 Report by Yole Developpement
Sapphire Applications & Market 2015 Report by Yole Developpement
 
Power SiC 2019: Materials, Devices, and Applications by Yole Développement
Power SiC 2019: Materials, Devices, and Applications by Yole DéveloppementPower SiC 2019: Materials, Devices, and Applications by Yole Développement
Power SiC 2019: Materials, Devices, and Applications by Yole Développement
 
Emerging and Innovative Approaches in Photovoltaics 2014 Report by Yole Devel...
Emerging and Innovative Approaches in Photovoltaics 2014 Report by Yole Devel...Emerging and Innovative Approaches in Photovoltaics 2014 Report by Yole Devel...
Emerging and Innovative Approaches in Photovoltaics 2014 Report by Yole Devel...
 
Silicon Photonics 2014 Report by Yole Developpement
Silicon Photonics 2014 Report by Yole DeveloppementSilicon Photonics 2014 Report by Yole Developpement
Silicon Photonics 2014 Report by Yole Developpement
 
MicroLED Displays 2019
MicroLED Displays 2019MicroLED Displays 2019
MicroLED Displays 2019
 
Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays 201...
Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays 201...Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays 201...
Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays 201...
 
Thin-Film Integrated Passive Devices
Thin-Film Integrated Passive DevicesThin-Film Integrated Passive Devices
Thin-Film Integrated Passive Devices
 
VCSELs – Market and Technology Trends 2019 by Yole Développement
VCSELs – Market and Technology Trends 2019 by Yole DéveloppementVCSELs – Market and Technology Trends 2019 by Yole Développement
VCSELs – Market and Technology Trends 2019 by Yole Développement
 
Silicon Photonics for Data Centers and Other Applications 2016 - Report by Yo...
Silicon Photonics for Data Centers and Other Applications 2016 - Report by Yo...Silicon Photonics for Data Centers and Other Applications 2016 - Report by Yo...
Silicon Photonics for Data Centers and Other Applications 2016 - Report by Yo...
 
Silicon Photonics 2018 - Report by Yole Developpement
Silicon Photonics 2018 - Report by Yole Developpement Silicon Photonics 2018 - Report by Yole Developpement
Silicon Photonics 2018 - Report by Yole Developpement
 
Light Shaping Technologies for Consumer and Automotive Applications 2019
Light Shaping Technologies for Consumer and Automotive Applications 2019Light Shaping Technologies for Consumer and Automotive Applications 2019
Light Shaping Technologies for Consumer and Automotive Applications 2019
 
Status of the Solid-State Lighting Source Industry 2019 by Yole Développement
Status of the Solid-State Lighting Source Industry 2019 by Yole DéveloppementStatus of the Solid-State Lighting Source Industry 2019 by Yole Développement
Status of the Solid-State Lighting Source Industry 2019 by Yole Développement
 
Silicon Photonics 2021
Silicon Photonics 2021Silicon Photonics 2021
Silicon Photonics 2021
 
Piezoelectric Devices: From Bulk to Thin-Film 2019 report by Yole Développement
Piezoelectric Devices: From Bulk to Thin-Film 2019 report by Yole DéveloppementPiezoelectric Devices: From Bulk to Thin-Film 2019 report by Yole Développement
Piezoelectric Devices: From Bulk to Thin-Film 2019 report by Yole Développement
 
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
 
Edge Emitting Lasers: Market and Technology Trends 2019 report by Yole Dévelo...
Edge Emitting Lasers: Market and Technology Trends 2019 report by Yole Dévelo...Edge Emitting Lasers: Market and Technology Trends 2019 report by Yole Dévelo...
Edge Emitting Lasers: Market and Technology Trends 2019 report by Yole Dévelo...
 
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
 
LiFi - Technology, Industry, and Market Trends report by Yole Développement
LiFi - Technology, Industry, and Market Trends report by Yole DéveloppementLiFi - Technology, Industry, and Market Trends report by Yole Développement
LiFi - Technology, Industry, and Market Trends report by Yole Développement
 

Más de Yole Developpement

Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleYole Developpement
 
Processor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleProcessor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleYole Developpement
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Yole Developpement
 
MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021Yole Developpement
 
Neuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleNeuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleYole Developpement
 
Future Soldier Technologies 2021
Future Soldier Technologies 2021Future Soldier Technologies 2021
Future Soldier Technologies 2021Yole Developpement
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleYole Developpement
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020Yole Developpement
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Yole Developpement
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020Yole Developpement
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...Yole Developpement
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Yole Developpement
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020Yole Developpement
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020Yole Developpement
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Yole Developpement
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingYole Developpement
 
Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Yole Developpement
 
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...Yole Developpement
 
Emerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementEmerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementYole Developpement
 
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...Yole Developpement
 

Más de Yole Developpement (20)

Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - Sample
 
Processor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleProcessor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - Sample
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021
 
MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021
 
Neuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleNeuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - Sample
 
Future Soldier Technologies 2021
Future Soldier Technologies 2021Future Soldier Technologies 2021
Future Soldier Technologies 2021
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - Sample
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based Testing
 
Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020
 
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
 
Emerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementEmerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole Développement
 
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
 

Último

"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024Stephanie Beckett
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 

Último (20)

"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 

Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 2014 Report by Yole Developpement

  • 1. © 2014 Permanent Wafer Bonding Application trends & Technology Brewer Science
  • 2. © 2014• 2 Table of content • Table of contents……………………………...2 • Objectives of the Study………………………4 • Definition, limitations & Methodology……..6 • 2011 vs. 2014 Analysis Comparison……….7 • Companies Cited in the Report…………..…9 • Glossary…………………………………….…..10 • Executive summary…………………………..12 – Methodology and Key Trends – Bonding technologies vs Applications 2013-2019 Number of wafers bonded (wspy – Permanent Bonding Market Forecasts (in wafer eq., $M) • by Technology • by Application – Permanent Bonder Market Forecasts (in Unit of Production) – Breakdown by End Applications – in 8 eq wspy • in 8 eq wspy • in $M – Permanent Bonding Equipment suppliers’ Market Share ………….………………………………..36 • Introduction, Definitions & Scope of the Report ..59 – Overview of the permanent bonding technologies……………………………….64 • • Main Players involved Permanent Bonding Equipment ………………………………………..……67 • 2013-2019 Detailed Permanent Bonding Market Forecast by Wafer bonding process……..71 – Permanent Bonding Market Forecasts by technology (in $M) – Permanent Bonding Market Forecasts by technology (in wafer eq.) – Fusion bonding…………..............................84 – Anodic bonding……………………………….109 – Glass frit bonding……………………………129 – Adhesive bonding……………………………139 – Eutectic Bonding……………………………..153 – Transit Liquid Phase bonding………………164 – Cu-Cu @ Room Temp bonding……………..175 – Thermo-compression bonding………………185 • 2013-2019 Detailed Permanent Bonding Forecast by Applications…………………….……195 – MEMS devices…………………….208 – Advanced Packaging……..……..227 • CMOS Image Sensors • 3D Stack TSV – LED devices……………………….264 – SOI substrate………………………285 • Conclusions & Perspectives……………….300 • Company Presentation………………….…..305
  • 3. © 2014• 3 Objectives of the study • This is the second research update on this market after our first report published in 2011 on Permanent bonding technologies • We described the main applications that require permanent bonding processes and as well as the types of permanent bonding technologies • Market Research Scope: the objectives of the report are: – Give the detailed information regarding permanent bonding processes – Describe the main applications using permanent bonding processes – To provide an overview of the technological trends and applications that use permanent bonding processes – To provide a forecast for the next five years, and predict future trends for permanent bonding • Overview of the permanent bonding used in MEMS, Advanced Packaging, LED and SOI substrate applications • Evaluate market developments in terms of market size • in wafers, number of chambers, value in $M by application, by permanent bonding technology and wafer size • We have focused on the following permanent bonding applications – MEMS – Advanced Packaging – LED – SOI substrate • We have not included: – PV applications – C2W approach – Advanced Substrate such as SSOI, SGOI, GOI
  • 4. © 2014• 4 Wafer bonding technologies Without intermediate layer Fusion bonding/ direct or molecular bonding 200°C<T<1100°C Anodic bonding 350°C<T<450°C With intermediate layer/ indirect Insulating interlayer Glass frit bonding 350°C<T<450°C Adhesive bonding T<150°C Metal bonding Cu-Cu/oxide hybrid bonding at RT Solder bonding Eutectic bonding 300°C<T<450°C Transit Liquid Phase 180°C<T<300°C Thermo-compression • Permanent Bonding technologies – Throughout this report, we will address and cover the following permanent bonding technologies – Depending on the permanent bonding technology, the bonding step can be performed at various temperatures Wafer bonding technology Overview
  • 5. © 2014• 5 Scope of the Report • Market scope: – Permanent wafer bonding is used in a wide range of devices in the semiconductor industry. – Advanced Substrate will not be covered in this report Applications of permanent bonding technologies covered MEMS LED packaging (for HB-LED) WLCapping Advanced Packaging LED CMOS Image Sensor LED carrier: Bonding to the carrier Back-Side Illumination Capping Wafer Level Packaging 3D Stack TSV SOI Substrate
  • 6. © 2014• 6 MEMS Advanced Packaging LED SOICIS TSV stacks Carrier HB-LED BSI Capping WLP Permane nt Bonding Direct bonding Fusion bonding Anodic Bonding Indirect bonding Glass Frit Bonding Adhesive bonding Cu-Cu/ oxide hybrid bonding @RT Solder bonding: Eutectic Bonding Solder Bonding: TLP Thermo- compression Bonding technologies vs Applications Production On development
  • 7. © 2014• 7 WPSY MEMS Advanced Packaging LED SOICIS TSV stacks Carrier HB-LED BSI Capping WLP Permane nt Bonding Direct bonding Fusion bonding 1.1M 8’’ eq Anodic Bonding Indirect bonding Glass Frit Bonding Adhesive bonding 199 k 8’’ eq Cu-Cu/ oxide hybrid bonding @RT 0 k 8’’ eq Solder bonding: Eutectic Bonding Solder Bonding: TLP Thermo- compression Bonding technologies vs Applications 2013 Number of wafers bonded (wspy) 8 inch eq
  • 8. © 2014• 8 0 2 000 4 000 6 000 8 000 10 000 12 000 14 000 16 000 18 000 2013 2014 2015 2016 2017 2018 2019 Numberofwafers(8incheq) Wafer Forecast by Application (in 8 inch eq) (Report includes detailed breakdown for the following applications: 3D TSV stack, CIS BSI, CIS capping WLP, LED carrier, LED packaging, MEMS, SOI) Yole Developpement © April 2014 Wafer Forecast by Permanent Bonding Technology (in 8 inch eq)
  • 9. © 2014• 9 2013 Overall Market Share for Permanent Bonding • The Permanent Bonding business is fragmented into EVG, SUSS MicroTec and TEL • EVG, SUSS, TEL today concentrate almost 80% of the permanent bonding equipment market by focusing on MEMS devices, Advanced Packaging (CIS BSI, WLP, 3D Stacks), applications Market share extracted from the preivous report Permanent bonding in 2011 Market share assessed in 2013 2013 market share breakdown by permanent bonding equipment supplier (in M$) (Report includes detailed breakdown for each supplier)
  • 10. © 2014• 10 More slides extracts
  • 11. © 2014• 11 Who Should Be Interested in This Report? • Equipment & Materials suppliers – Identify new business opportunities and prospects – Understand the differentiated value of your products and technologies in this market – Identify technology trends, challenges and precise requirements related to permanent bonding – Evaluate market potential of your permanent bonding technologies – Position your company in the market – Monitor and benchmark your competitors • IDMs, CMOS foundries & OSAT players – Understand technology trends related to permanent bonding applied in the MEMS, LED, CIS and Advanced Packaging applications – Spot new opportunities and define diversification strategies • R&D organizations & Investors – Monitor the global activity and consolidation currently happening in the semiconductor equipment & material business in order to identify new partners, targets and make the right decisions before committing to one particular supplier
  • 12. © 2014• 12 About the author of this report Amandine Pizzagalli – Amandine is in charge of equipment & material fields for the Advanced Packaging & Manufacturing team at Yole Développement after graduating as an engineer in Electronics, with a specialization in Semiconductors and Nano Electronics Technologies. She worked in the past for Air Liquide with an emphasis on CVD and ALD processes for semiconductor applications. Contact: pizzagalli@yole.fr
  • 13. © 2014• 13 Companies Cited in this Report AMD, AML, Applied Materials, Avago, Bosch, Discera, EVG, Infineon, Invensense, Lemoptix, Luxtera, Mitsubishi Heavy Industries, Murata / VTI, Nemotek, OSRAM, PlanOptik, Samsung, Sensonor, SOITEC, ST Micro, SUSS MicroTec, Sony, Teledyne/Dalsa, Tokyo Electron, Ziptronix, IMEC, Leti, Texas Instruments, Tezzaron, WiSpry, Ziptronix, and more…
  • 14. © 2014• 14 Our latest market reports… N o k i a 3D IC Business Update 2014 MEMS Front End Manufacturing Flexible & Printed Electronics Coming Soon 3D IC Equipment & Materials 2014 FO WLP & Embedded Die Packages 2014
  • 15. © 2014• 15 Yole Developpement Company Presentation
  • 16. © 2014• 16 Yole Activities MEDIA News portal/Technology magazines/ Webcasts/Communication services REPORTS Market & technology/Patent Investigation/Reverse costing CONSULTING Market research/Technology & Strategy/Patent Investigation/ Reverse costing www.yole.fr www.yolefinance.fr YOLE FINANCE M&A/ Due Diligence/ Fundraising/ Technology brokerage SISTER COMPANY Reverse engineering & costing/ Cost simulation tools
  • 17. © 2014• 17 Supporting the Entire Value Chain  Yole consultants provide Market Analysis, Technology Evaluation and Business Plan Assessment for clients along the entire value chain Institutions Investors & Advocates Integrators & End Users Device & Module Makers Material & Equipment Suppliers
  • 18. © 2014• 18 For More Information… Please take a look at our websites: www.yole.fr Yole Développement corporate website www.i-micronews.com News Portal - free online registration to our publications www.systemplus.fr Sister company; expert in teardown & reverse costing analysis www.yolefinance.com Separate Yole business unit dedicated to financial services Follow us on
  • 19. © 2014• 19 Our Offices & Contact Information Europe Office • Jean-Christophe Eloy, CEO, Tel: 33 472 83 01 80, Email: eloy@yole.fr • Faycal Khamassi, Headquarter Sales Coordination & Customer Service, Tel: 33 472 83 01 95, Email: khamassi@yole.fr • David Jourdan, Headquarter Sales Coordination & Customer Service, Tel: 33 472 83 01 90, Email: jourdan@yole.fr USA Office • Michael McLaughlin, Business Development Manager, Phone: (650) 931 2552 - Cell: (408) 839 7178 - Email: mclaughlin@yole.fr • Jeff Edwards, Sales Associate, Yole Inc., Cell: (972) 333 0986- Email: edwards@yole.fr Japan Office • For custom research: Yutaka Katano, General Manager, Yole Japan & President, Yole K.K. Phone: (81) 362 693 457 - Cell: (81) 80 3440 6466 - Fax: (81) 362 693 448 - Email: katano@yole.fr • For reports business: Takashi Onozawa, Sales Asia & General Manager, Yole K.K. Email: onozawa@yole.fr Korea Office • Hailey Yang, Business Development Manager Phone : (82) 2 2010 883 - Cell: (82) 10 4097 5810 - Fax: (82) 2 2010 8899 - Email: yang@yole.fr
  • 20. ORDER FORM Permanent Wafer Bonding for Semiconductor SHIPPING CONTACT First Name: Email: Last Name: Phone: PAYMENT BY CREDIT CARD Visa Mastercard Amex Name of the Card Holder: Credit Card Number: Card Verification Value (3 digits except AMEX: 4 digits): Expiration date: BY BANK TRANSFER BANK INFO: HSBC, 1 place de la Bourse, F-69002 Lyon, France, Bank code: 30056, Branch code : 00170 Account No: 0170 200 1565  87, SWIFT or BIC code: CCFRFRPP, IBAN: FR76 3005 6001 7001 7020 0156 587 RETURN ORDER BY • FAX: +33 (0)472 83 01 83 • MAIL: YOLE DÉVELOPPEMENT, Le Quartz, 75 Cours Emile Zola, 69100 Villeurbanne/Lyon - France SALES CONTACTS • North America: Michael McLaughlin - mclaughlin@yole.fr • Asia: Takashi Onozawa - onozawa@yole.fr • Europe RoW: Jean-Christophe Eloy - eloy@yole.fr • Korea: Hailey Yang - yang@yole.fr • General: info@yole.fr (1) Our Terms and Conditions of Sale are available at www.yole.fr/Terms_and_Conditions_of_Sale.aspx The present document is valid 24 months after its publishing date: April 16st , 2014 / ABOUT YOLE DEVELOPPEMENT BILL TO Name (Mr/Ms/Dr/Pr): Job Title: Company: Address: City: State: Postcode/Zip: Country*: *VAT ID Number for EU members: Tel: Email: Date: PRODUCT ORDER Please enter my order for above named report : One user license*: Euro 3,990 Multi user license: Euro 5,990 For price in dollars, please use the day’s exchange rate. All reports are delivered electronically at payment reception. For French customers, add 20% for VAT I hereby accept Yole Développement’s Terms and Conditions of Sale(1) Signature: *One user license means only one person at the company can use the report. Please be aware that our publication will be watermarked on each page with the name of the recipient and of the organization (the name mentioned on the PO). This watermark will also mention that the report sharing is not allowed. Founded in 1998, Yole Développement has grown to become a group of companies providing marketing, technology and strategy consulting, media in addition to corporate finance services. With a strong focus on emerging applications using silicon and/or micro manufacturing (technology or process), Yole Développement group has expanded to include more than 50 associates worldwide covering MEMS, Compound Semiconductors, LED, Image Sensors, Optoelectronics, Microfluidics Medical, Photovoltaics, Advanced Packaging, Manufacturing, Nanomaterials and Power Electronics. The group supports industrial companies, investors and RD organizations worldwide to help them understand markets and follow technology trends to develop their business. MEDIA EVENTS • i-Micronews.com, online disruptive technologies website • @Micronews, weekly e-newsletter • Technology Magazines dedicated to MEMS, Advanced Packaging, LED and Power Electronics • Communication webcasts services • Events: Yole Seminars, Market Briefings… More information on www.i-micronews.com CONTACTS For more information about : • Consulting Services: Jean-Christophe Eloy (eloy@yole.fr) • Financial Services: Géraldine Andrieux-Gustin (andrieux@yole.fr) • Report Business: David Jourdan (jourdan@yole.fr) • Corporate Communication: Sandrine Leroy (leroy@yole.fr) CONSULTING • Market data research, marketing analysis • Technology analysis • Reverse engineering costing services • Strategy consulting • Patent analysis More information on www.yole.fr REPORTS • Collection of technology market reports • Manufacturing cost simulation tools • Component reverse engineering costing analysis • Patent investigation More information on www.i-micronews.com/reports FINANCIAL SERVICES • Mergers Acquisitions • Due diligence • Fundraising • Coaching of emerging companies • IP portfolio management optimization More information on www.yolefinance.com