SlideShare una empresa de Scribd logo
1 de 33
EET 3350 Digital Systems Design

    Textbook: John Wakerly
         Chapter 8: 8.5

          Shift Registers




                                  1
Definition
• A register is a digital circuit with two basic
  functions: Data Storage and Data Movement
  – A shift register provides the data movement
    function
  – A shift register “shifts” its output once every clock
    cycle


• A shift register is a group of flip-flops set up in
  a linear fashion with their inputs and outputs
  connected together in such a way that the data
  is shifted from one device to another when the
  circuit is active
                                                            2
Shift Register Applications
• converting between       • some counter
  serial data and            applications
  parallel data              – ring counter
• temporary storage in       – Johnson counter
  a processor                – Linear Feedback Shift
  – scratch-pad memories       Register (LFSR) counters
• some arithmetic          • time delay devices
  operations               • more …
  – multiply, divide
• communications
  – UART

                                                   3
Shift Register Characteristics
• Types
  – Serial-in, Serial-out
  – Serial-in, Parallel-out
  – Parallel-in, Serial-out
  – Parallel-in, Parallel-out
  – Universal                   n-bit shift
                                 register

• Direction
  – Left shift
  – Right shift
  – Rotate (right or left)
  – Bidirectional


                                              4
Data Movement
• The bits in a shift register can move in any of the
  following manners




                                                        5
Data Movement
• Block diagrams for shift registers with various
  input/output options:


                   n-bit shift                     n-bit shift
                    register                        register




     n-bit shift                     n-bit shift
      register                        register




                                                                 6
Serial-In Serial-Out
• Data bits come in one at a
  time and leave one at a time      n-bit shift
                                     register
• One Flip-Flop for each bit to
  be handled
• Movement can be left or
  right, but is usually only in a
  single direction in a given
  register
• Asynchronous preset and
  clear inputs are used to set
  initial values



                                                  7
Serial-In Serial-Out
• The logic circuit diagram below shows a
  generalized serial-in serial-out shift register
  – SR Flip-Flops are shown
  – Connected to behave as D Flip-Flops
  – Input values moved to outputs of each Flip-Flop
    with the clock (shift) pulse




                  N                      1   0



                      N-Bit Shift Register

                                                      8
Shift Registers
• The simplest shift register is one that uses only Flip-Flops
• The output of a given Flip-Flop is connected to the D input of the
  Flip-Flop at its right.
• Each clock pulse shifts the contents of the register one bit
  position to the right.
• The Serial input (SI) determines what goes into the leftmost Flip-
  Flop during the shift. The Serial output (SO) is taken from the
  output of the rightmost Flip-Flop.


                  Q         Q          Q          Q




                                                                       9
Serial-In Serial-Out
• A simple way of looking
  at the serial shifting
  operation, with a focus on      1

  the data bits, is illustrated
  at right                        2

• The 4-bit data word
  “1011” is to be shifted into    3
  a 4-bit shift register
• One shift per clock pulse       4
• Data is shown entering at
  left and shifting right
                                  5

                                      10
Serial-In Serial-Out
• The diagram at right
  shows the 4-bit
  sequence “1010”
  being loaded into the
  4-bit serial-in serial-
  out shift register
• Each bit moves one
  position to the right
  each time the clock’s
  leading edge occurs
• Four clock pulses
  loads the register
                                    11
Serial-In Serial-Out
• This diagram shows
  the 4-bit sequence
  “1010” as it is
  unloaded from the 4-
  bit serial-in serial-out
  shift register
• Each bit moves one
  position to the right
  each time the clock’s
  leading edge occurs
• Four clock pulses
  unloads the register
                                    12
Serial-In Serial-Out
• Serial-in, serial-out
  shift registers are
  often used for data
  communications
  – such as RS-232
  – modem transmission
    and reception
  – Ethernet links
  – SONET
  – etc.



                                      13
Serial-to-Parallel Conversion
• We often need to convert
  from serial to parallel            n-bit shift
                                      register
  – e.g., after receiving a series
    transmission
• The diagrams at the right
  illustrate a 4-bit serial-in
  parallel-out shift register
• Note that we could also use
  the Q of the right-most Flip-
  Flop as a serial-out output




                                                   14
Serial-to-Parallel Conversion
• We would use a
  serial-in parallel-out
  shift register of
  arbitrary length N to
  convert an N-bit word
  from serial to parallel
• It would require N
  clock pulses to LOAD
  and one clock pulse
  to UNLOAD


                                      15
Serial-to-Parallel Conversion
• These two shift
  registers are used
  to convert serial
  data to parallel data
• The upper shift
  register would
  “grab” the data
  once it was shifted
  into the lower
  register


                                      16
Parallel-to-Serial Conversion
• We use a Parallel-in Serial-out
  Shift Register                        n-bit shift
                                         register
• The DATA is applied in parallel
  form to the parallel input pins PA
  to PD of the register
• It is then read out sequentially
  from the register one bit at a time
  from PA to PD on each clock cycle
  in a serial format
• One clock pulse to load
• Four pulses to unload



                                                      17
Parallel-to-Serial Conversion
 • Logic circuit for a parallel-in, serial-out shift register



                                       0

                                       1

                                       0

                                       1



                                       0

                                       1
Mux-like
                                                                18
Parallel-In Parallel-Out
• Parallel-in Parallel-out Shift
  Registers can serve as a
  temporary storage device or
  as a time delay device
• The DATA is presented in a
  parallel format to the parallel
  input pins PA to PD and then
  shifted to the corresponding
  output pins QA to QD when
  the registers are clocked
• One clock pulse to load
• One pulse to unload


                                       19
Universal Shift Register
      • Universal shift register
      • Can do any combination of
        parallel and serial              n-bit shift
                                          register
        input/output operations
      • Requires additional inputs to
        specify desired function
      • Uses a Mux-like input gating



L/S                            L/S
      A                              0
                0        F       A
      B         1                B
                                     1




                                                       20
Universal Shift Register
• Parallel-in, parallel-out shift register



                                       0

                                       1

                                       0

                                       1



                                       0

                                       1
Mux-like
                                             21
Universal Shift Register
• Parallel shift register (can serve as converting
  parallel-in to serial-out shifter):




                                                 22
MSI Shift Registers
• 74LS164 is an 8-Bit Serial-
  In Parallel-Out Shift
  Register
• Typical Shift Frequency of
  35 MHz
• Asynchronous Master
  Reset
• Gated Serial Data Input
• Fully Synchronous Data
  Transfers




                                    23
MSI Shift Registers
• 74LS164 logic diagram




 A LOW level on the Master Reset (MR) input overrides all other
 inputs and clears the register asynchronously, forcing all Q outputs
 LOW.



                                                                 24
MSI Shift Registers
• 74LS164 8-Bit Serial-In Parallel-Out Shift
  Register




                                               25
MSI Shift Registers
• The 74LS164 is an edge-
  triggered 8-bit shift register with
  serial data entry and an output
  from each of the eight stages.
• Data is entered serially through
  one of two inputs (A or B);
  – either of these inputs can be used
    as an active HIGH Enable for data
    entry through the other input
  – an unused input must be tied HIGH,
    or both inputs connected together


                                         26
MSI Shift Registers
• Each LOW-to-HIGH transition on the Clock (CP) input
  shifts data one place to the right
• This also outputs at Q0 the logical AND of the two data
  inputs (A•B) that existed before the rising clock edge.




                                                    27
MSI Shift Registers




                      28
MSI Shift Registers
• 74LS166 is an 8-Bit Shift
  Register
• Parallel-in or serial-in
  – shift/load input establishes
    the parallel-in or serial-in
    mode
• Serial-out
• Synchronous Load
  – Serial data flow is inhibited
    during parallel loading
• Direct Overriding Clear




                                      29
MSI Shift Registers
• 74LS166 is an 8-Bit Shift Register




                                       30
MSI Shift Registers
• 74LS166 8-Bit Shift Register is a parallel-in or
  serial-in, serial-out shift register




                                                     31
MSI Shift Registers
• 74LS166 is an 8-Bit Shift
  Register




                                  32
MSI Shift Registers
• 74LS166 is an 8-Bit Shift Register




                                       33

Más contenido relacionado

La actualidad más candente

La actualidad más candente (20)

Schmitt trigger circuit
Schmitt trigger circuitSchmitt trigger circuit
Schmitt trigger circuit
 
Combinational circuits
Combinational circuits Combinational circuits
Combinational circuits
 
Registers
RegistersRegisters
Registers
 
Registers
RegistersRegisters
Registers
 
Registers and counters
Registers and countersRegisters and counters
Registers and counters
 
Latches and flip flop
Latches and flip flopLatches and flip flop
Latches and flip flop
 
Logic families
Logic familiesLogic families
Logic families
 
8251 USART
8251 USART8251 USART
8251 USART
 
flip flops
flip flops flip flops
flip flops
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic Circuit
 
D Flip Flop
D Flip Flop D Flip Flop
D Flip Flop
 
Keypad Interfacing with 8051 Microcontroller
Keypad Interfacing with 8051 MicrocontrollerKeypad Interfacing with 8051 Microcontroller
Keypad Interfacing with 8051 Microcontroller
 
sequential circuits
sequential circuitssequential circuits
sequential circuits
 
Multiplexers & Demultiplexers
Multiplexers & DemultiplexersMultiplexers & Demultiplexers
Multiplexers & Demultiplexers
 
Encoder & Decoder
Encoder & DecoderEncoder & Decoder
Encoder & Decoder
 
multiplexers and demultiplexers
 multiplexers and demultiplexers multiplexers and demultiplexers
multiplexers and demultiplexers
 
Combinational Circuits & Sequential Circuits
Combinational Circuits & Sequential CircuitsCombinational Circuits & Sequential Circuits
Combinational Circuits & Sequential Circuits
 
Sequential circuits in Digital Electronics
Sequential circuits in Digital ElectronicsSequential circuits in Digital Electronics
Sequential circuits in Digital Electronics
 
Parity Generator and Parity Checker
Parity Generator and Parity CheckerParity Generator and Parity Checker
Parity Generator and Parity Checker
 
Registers siso, sipo
Registers siso, sipoRegisters siso, sipo
Registers siso, sipo
 

Destacado

Destacado (12)

VHDL
VHDLVHDL
VHDL
 
Dlc{binary to gray code conversion} ppt
Dlc{binary to gray code conversion} pptDlc{binary to gray code conversion} ppt
Dlc{binary to gray code conversion} ppt
 
VHDL CODE
VHDL CODE VHDL CODE
VHDL CODE
 
Binary to grey code conversion
Binary to grey code conversionBinary to grey code conversion
Binary to grey code conversion
 
23 gray codes
23 gray codes23 gray codes
23 gray codes
 
Fpga
FpgaFpga
Fpga
 
BCD,GRAY and EXCESS 3 codes
BCD,GRAY and EXCESS 3 codesBCD,GRAY and EXCESS 3 codes
BCD,GRAY and EXCESS 3 codes
 
Programs of VHDL
Programs of VHDLPrograms of VHDL
Programs of VHDL
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
FPGA
FPGAFPGA
FPGA
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
FPGA
FPGAFPGA
FPGA
 

Similar a Shift Registers

Sequential Circuits - Flip Flops (Part 2)
Sequential Circuits - Flip Flops (Part 2)Sequential Circuits - Flip Flops (Part 2)
Sequential Circuits - Flip Flops (Part 2)
Abhilash Nair
 

Similar a Shift Registers (20)

lec17-130220024438-phpapp02 (1).pdf
lec17-130220024438-phpapp02 (1).pdflec17-130220024438-phpapp02 (1).pdf
lec17-130220024438-phpapp02 (1).pdf
 
14827 shift registers
14827 shift registers14827 shift registers
14827 shift registers
 
Registers-shift register
Registers-shift registerRegisters-shift register
Registers-shift register
 
digital elctronics
digital elctronicsdigital elctronics
digital elctronics
 
shift_register.pptx
shift_register.pptxshift_register.pptx
shift_register.pptx
 
Unit4_DE.pptx
Unit4_DE.pptxUnit4_DE.pptx
Unit4_DE.pptx
 
Registers-shift registers
Registers-shift registersRegisters-shift registers
Registers-shift registers
 
Digital Electronics Unit_4_new.pptx
Digital Electronics Unit_4_new.pptxDigital Electronics Unit_4_new.pptx
Digital Electronics Unit_4_new.pptx
 
Digital Electronics – Unit III.pdf
Digital Electronics – Unit III.pdfDigital Electronics – Unit III.pdf
Digital Electronics – Unit III.pdf
 
lecture .pptx
lecture .pptxlecture .pptx
lecture .pptx
 
Sequential Circuits - Flip Flops (Part 2)
Sequential Circuits - Flip Flops (Part 2)Sequential Circuits - Flip Flops (Part 2)
Sequential Circuits - Flip Flops (Part 2)
 
CSL 202, Shift Registers using Flipflops
CSL 202, Shift Registers using FlipflopsCSL 202, Shift Registers using Flipflops
CSL 202, Shift Registers using Flipflops
 
Registers and Counters.ppt
Registers and Counters.pptRegisters and Counters.ppt
Registers and Counters.ppt
 
Ch2
Ch2Ch2
Ch2
 
FYBSC IT Digital Electronics Unit V Chapter II Shift Register
FYBSC IT Digital Electronics Unit V Chapter II Shift RegisterFYBSC IT Digital Electronics Unit V Chapter II Shift Register
FYBSC IT Digital Electronics Unit V Chapter II Shift Register
 
Chapter 8_Shift Registers (EEEg4302)1.pdf
Chapter 8_Shift Registers (EEEg4302)1.pdfChapter 8_Shift Registers (EEEg4302)1.pdf
Chapter 8_Shift Registers (EEEg4302)1.pdf
 
Registers r011
Registers   r011Registers   r011
Registers r011
 
Synchronous Sequential Logic Unit 4
Synchronous Sequential Logic Unit 4Synchronous Sequential Logic Unit 4
Synchronous Sequential Logic Unit 4
 
Dns module3 p3
Dns module3 p3Dns module3 p3
Dns module3 p3
 
Dns module3 p3_shift registers
Dns module3 p3_shift registersDns module3 p3_shift registers
Dns module3 p3_shift registers
 

Más de Abhilash Nair (20)

Sequential Circuits - Flip Flops
Sequential Circuits - Flip FlopsSequential Circuits - Flip Flops
Sequential Circuits - Flip Flops
 
VHDL Part 4
VHDL Part 4VHDL Part 4
VHDL Part 4
 
Designing Clocked Synchronous State Machine
Designing Clocked Synchronous State MachineDesigning Clocked Synchronous State Machine
Designing Clocked Synchronous State Machine
 
MSI Shift Registers
MSI Shift RegistersMSI Shift Registers
MSI Shift Registers
 
VHDL - Enumerated Types (Part 3)
VHDL - Enumerated Types (Part 3)VHDL - Enumerated Types (Part 3)
VHDL - Enumerated Types (Part 3)
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
Feedback Sequential Circuits
Feedback Sequential CircuitsFeedback Sequential Circuits
Feedback Sequential Circuits
 
Designing State Machine
Designing State MachineDesigning State Machine
Designing State Machine
 
State Machine Design and Synthesis
State Machine Design and SynthesisState Machine Design and Synthesis
State Machine Design and Synthesis
 
Synchronous design process
Synchronous design processSynchronous design process
Synchronous design process
 
Analysis of state machines & Conversion of models
Analysis of state machines & Conversion of modelsAnalysis of state machines & Conversion of models
Analysis of state machines & Conversion of models
 
Analysis of state machines
Analysis of state machinesAnalysis of state machines
Analysis of state machines
 
Sequential Circuits - Flip Flops (Part 1)
Sequential Circuits - Flip Flops (Part 1)Sequential Circuits - Flip Flops (Part 1)
Sequential Circuits - Flip Flops (Part 1)
 
FPLDs
FPLDsFPLDs
FPLDs
 
CPLDs
CPLDsCPLDs
CPLDs
 
CPLD & FPLD
CPLD & FPLDCPLD & FPLD
CPLD & FPLD
 
CPLDs
CPLDsCPLDs
CPLDs
 
CPLDs
CPLDsCPLDs
CPLDs
 
Static and Dynamic Read/Write memories
Static and Dynamic Read/Write memoriesStatic and Dynamic Read/Write memories
Static and Dynamic Read/Write memories
 

Último

Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
ZurliaSoop
 
Vishram Singh - Textbook of Anatomy Upper Limb and Thorax.. Volume 1 (1).pdf
Vishram Singh - Textbook of Anatomy  Upper Limb and Thorax.. Volume 1 (1).pdfVishram Singh - Textbook of Anatomy  Upper Limb and Thorax.. Volume 1 (1).pdf
Vishram Singh - Textbook of Anatomy Upper Limb and Thorax.. Volume 1 (1).pdf
ssuserdda66b
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
ciinovamais
 
Spellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please PractiseSpellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please Practise
AnaAcapella
 

Último (20)

Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
 
Vishram Singh - Textbook of Anatomy Upper Limb and Thorax.. Volume 1 (1).pdf
Vishram Singh - Textbook of Anatomy  Upper Limb and Thorax.. Volume 1 (1).pdfVishram Singh - Textbook of Anatomy  Upper Limb and Thorax.. Volume 1 (1).pdf
Vishram Singh - Textbook of Anatomy Upper Limb and Thorax.. Volume 1 (1).pdf
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
Spellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please PractiseSpellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please Practise
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptx
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 

Shift Registers

  • 1. EET 3350 Digital Systems Design Textbook: John Wakerly Chapter 8: 8.5 Shift Registers 1
  • 2. Definition • A register is a digital circuit with two basic functions: Data Storage and Data Movement – A shift register provides the data movement function – A shift register “shifts” its output once every clock cycle • A shift register is a group of flip-flops set up in a linear fashion with their inputs and outputs connected together in such a way that the data is shifted from one device to another when the circuit is active 2
  • 3. Shift Register Applications • converting between • some counter serial data and applications parallel data – ring counter • temporary storage in – Johnson counter a processor – Linear Feedback Shift – scratch-pad memories Register (LFSR) counters • some arithmetic • time delay devices operations • more … – multiply, divide • communications – UART 3
  • 4. Shift Register Characteristics • Types – Serial-in, Serial-out – Serial-in, Parallel-out – Parallel-in, Serial-out – Parallel-in, Parallel-out – Universal n-bit shift register • Direction – Left shift – Right shift – Rotate (right or left) – Bidirectional 4
  • 5. Data Movement • The bits in a shift register can move in any of the following manners 5
  • 6. Data Movement • Block diagrams for shift registers with various input/output options: n-bit shift n-bit shift register register n-bit shift n-bit shift register register 6
  • 7. Serial-In Serial-Out • Data bits come in one at a time and leave one at a time n-bit shift register • One Flip-Flop for each bit to be handled • Movement can be left or right, but is usually only in a single direction in a given register • Asynchronous preset and clear inputs are used to set initial values 7
  • 8. Serial-In Serial-Out • The logic circuit diagram below shows a generalized serial-in serial-out shift register – SR Flip-Flops are shown – Connected to behave as D Flip-Flops – Input values moved to outputs of each Flip-Flop with the clock (shift) pulse N 1 0 N-Bit Shift Register 8
  • 9. Shift Registers • The simplest shift register is one that uses only Flip-Flops • The output of a given Flip-Flop is connected to the D input of the Flip-Flop at its right. • Each clock pulse shifts the contents of the register one bit position to the right. • The Serial input (SI) determines what goes into the leftmost Flip- Flop during the shift. The Serial output (SO) is taken from the output of the rightmost Flip-Flop. Q Q Q Q 9
  • 10. Serial-In Serial-Out • A simple way of looking at the serial shifting operation, with a focus on 1 the data bits, is illustrated at right 2 • The 4-bit data word “1011” is to be shifted into 3 a 4-bit shift register • One shift per clock pulse 4 • Data is shown entering at left and shifting right 5 10
  • 11. Serial-In Serial-Out • The diagram at right shows the 4-bit sequence “1010” being loaded into the 4-bit serial-in serial- out shift register • Each bit moves one position to the right each time the clock’s leading edge occurs • Four clock pulses loads the register 11
  • 12. Serial-In Serial-Out • This diagram shows the 4-bit sequence “1010” as it is unloaded from the 4- bit serial-in serial-out shift register • Each bit moves one position to the right each time the clock’s leading edge occurs • Four clock pulses unloads the register 12
  • 13. Serial-In Serial-Out • Serial-in, serial-out shift registers are often used for data communications – such as RS-232 – modem transmission and reception – Ethernet links – SONET – etc. 13
  • 14. Serial-to-Parallel Conversion • We often need to convert from serial to parallel n-bit shift register – e.g., after receiving a series transmission • The diagrams at the right illustrate a 4-bit serial-in parallel-out shift register • Note that we could also use the Q of the right-most Flip- Flop as a serial-out output 14
  • 15. Serial-to-Parallel Conversion • We would use a serial-in parallel-out shift register of arbitrary length N to convert an N-bit word from serial to parallel • It would require N clock pulses to LOAD and one clock pulse to UNLOAD 15
  • 16. Serial-to-Parallel Conversion • These two shift registers are used to convert serial data to parallel data • The upper shift register would “grab” the data once it was shifted into the lower register 16
  • 17. Parallel-to-Serial Conversion • We use a Parallel-in Serial-out Shift Register n-bit shift register • The DATA is applied in parallel form to the parallel input pins PA to PD of the register • It is then read out sequentially from the register one bit at a time from PA to PD on each clock cycle in a serial format • One clock pulse to load • Four pulses to unload 17
  • 18. Parallel-to-Serial Conversion • Logic circuit for a parallel-in, serial-out shift register 0 1 0 1 0 1 Mux-like 18
  • 19. Parallel-In Parallel-Out • Parallel-in Parallel-out Shift Registers can serve as a temporary storage device or as a time delay device • The DATA is presented in a parallel format to the parallel input pins PA to PD and then shifted to the corresponding output pins QA to QD when the registers are clocked • One clock pulse to load • One pulse to unload 19
  • 20. Universal Shift Register • Universal shift register • Can do any combination of parallel and serial n-bit shift register input/output operations • Requires additional inputs to specify desired function • Uses a Mux-like input gating L/S L/S A 0 0 F A B 1 B 1 20
  • 21. Universal Shift Register • Parallel-in, parallel-out shift register 0 1 0 1 0 1 Mux-like 21
  • 22. Universal Shift Register • Parallel shift register (can serve as converting parallel-in to serial-out shifter): 22
  • 23. MSI Shift Registers • 74LS164 is an 8-Bit Serial- In Parallel-Out Shift Register • Typical Shift Frequency of 35 MHz • Asynchronous Master Reset • Gated Serial Data Input • Fully Synchronous Data Transfers 23
  • 24. MSI Shift Registers • 74LS164 logic diagram A LOW level on the Master Reset (MR) input overrides all other inputs and clears the register asynchronously, forcing all Q outputs LOW. 24
  • 25. MSI Shift Registers • 74LS164 8-Bit Serial-In Parallel-Out Shift Register 25
  • 26. MSI Shift Registers • The 74LS164 is an edge- triggered 8-bit shift register with serial data entry and an output from each of the eight stages. • Data is entered serially through one of two inputs (A or B); – either of these inputs can be used as an active HIGH Enable for data entry through the other input – an unused input must be tied HIGH, or both inputs connected together 26
  • 27. MSI Shift Registers • Each LOW-to-HIGH transition on the Clock (CP) input shifts data one place to the right • This also outputs at Q0 the logical AND of the two data inputs (A•B) that existed before the rising clock edge. 27
  • 29. MSI Shift Registers • 74LS166 is an 8-Bit Shift Register • Parallel-in or serial-in – shift/load input establishes the parallel-in or serial-in mode • Serial-out • Synchronous Load – Serial data flow is inhibited during parallel loading • Direct Overriding Clear 29
  • 30. MSI Shift Registers • 74LS166 is an 8-Bit Shift Register 30
  • 31. MSI Shift Registers • 74LS166 8-Bit Shift Register is a parallel-in or serial-in, serial-out shift register 31
  • 32. MSI Shift Registers • 74LS166 is an 8-Bit Shift Register 32
  • 33. MSI Shift Registers • 74LS166 is an 8-Bit Shift Register 33