SlideShare una empresa de Scribd logo
1 de 8
By …………..

Ssh –X anukulece@192.168.1.3
                 Anukul123
.v to .vg and .sdc                                    (Digital design
flow)
 Go to the folder having .v file and
    fsa0m_a_generic_core_tt1p8v25c.lib
   rc -gui
   read_hdl gggg.v(pit bull)
    set_attribute library fsa0m_a_generic_core_tt1p8v25c.lib
      //setting the attribute from generic core having typical value
    temperature 25 degree c
   elaborate //it extracted your design over the -gui
   define_clock –p 2000 –name clk [ find /des* -port
    ports_in/clk]
     //definition of the clock of design
                   N.I.T Jalandhar, VLSI LAB. Dpt. of ECE               2
Synthesize –to _mapped
 report timing
 report power
 write_hdl > gggg_net_list.vg
 write_sdc > gggg_sdc.sdc




              N.I.T Jalandhar, VLSI LAB. Dpt. of ECE   3
Some problem during conversion .v
to .vg,.sdc
 In always block if u have two edge trigger then u have
  to separate that with ‘or’ in place of ‘,’. Example:
  always@(posedge clk,posedge rst) F
  always@(posedge clk or posedge rst) T
 Have practice of writing in two line while defining
  output variable
  Example: output reg out; F
             output out;
             reg out;          T
 Initial command is not synthesizable in cadence.

               N.I.T Jalandhar, VLSI LAB. Dpt. of ECE      4
Backend(make new folder have the
.vg,.sdc,.lib,and .lef files)
 In .lef file u will find header file , antenna rule file and macro file for appropriate rule
 In Soc encounter type
     encounter
    Common timing library
     fsa0m_a_t33_generic_io_tt1p8v25c.lib
     in design tab import design add generic core
    1.header6b55.lef
     2. fsa0m_a_generic_core.lef
     3. FSA0M_A_GENERIC_CORE_ANT_V55.4.lef
    Design import----advanced--------power--------vdd and ground and save as
     gggg.conf(configuration)------select auto design
    Again same as .lef put the .io file
    Floor planning and partioning
    In floor planning ……………………leave boundary core to left


                           N.I.T Jalandhar, VLSI LAB. Dpt. of ECE                                5
Power rail…..add strips
Set_to_set distance 50
Placement -----standard cell and blocks …………go to
  layout view
After routing connection got modified……….
Analysize timing at each and every step
 Change property by hitting ‘q-key’
 Clock routing
 Put some filler cell

              N.I.T Jalandhar, VLSI LAB. Dpt. of ECE   6
Lab 6(Analog Design Flow)
 Now time to switch analog mode manually design the
  inverter and draw the various characteristic.
 Hit icfb
 Provide library name (inv)And attach existing library to
  your cell.ie umc_180nm
 Name the cell of your file(inv_cell)
 Add instance by clicking on left side corresponding symbol
  or hit ‘i’ from keyboard……..add the required component
  from umc180 library or from basic library.
 In next step go to analog environment and set accordingly.

                N.I.T Jalandhar, VLSI LAB. Dpt. of ECE         7
 You can go for parametric analysis.




               N.I.T Jalandhar, VLSI LAB. Dpt. of ECE   8

Más contenido relacionado

La actualidad más candente

Reverse Engineering automation
Reverse Engineering automationReverse Engineering automation
Reverse Engineering automation
Positive Hack Days
 
Virtual platform
Virtual platformVirtual platform
Virtual platform
sean chen
 
Vlsi lab manual exp:1
Vlsi lab manual exp:1Vlsi lab manual exp:1
Vlsi lab manual exp:1
komala vani
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments I
Gouthaman V
 
Processor Verification Using Open Source Tools and the GCC Regression Test Suite
Processor Verification Using Open Source Tools and the GCC Regression Test SuiteProcessor Verification Using Open Source Tools and the GCC Regression Test Suite
Processor Verification Using Open Source Tools and the GCC Regression Test Suite
DVClub
 

La actualidad más candente (20)

Yacf
YacfYacf
Yacf
 
Reverse Engineering automation
Reverse Engineering automationReverse Engineering automation
Reverse Engineering automation
 
GCC LTO
GCC LTOGCC LTO
GCC LTO
 
Re-engineering Eclipse MDT/OCL for Xtext
Re-engineering Eclipse MDT/OCL for XtextRe-engineering Eclipse MDT/OCL for Xtext
Re-engineering Eclipse MDT/OCL for Xtext
 
Virtual platform
Virtual platformVirtual platform
Virtual platform
 
Vlsi lab manual exp:1
Vlsi lab manual exp:1Vlsi lab manual exp:1
Vlsi lab manual exp:1
 
Course lecture - An introduction to the Return Oriented Programming
Course lecture - An introduction to the Return Oriented ProgrammingCourse lecture - An introduction to the Return Oriented Programming
Course lecture - An introduction to the Return Oriented Programming
 
Andes open cl for RISC-V
Andes open cl for RISC-VAndes open cl for RISC-V
Andes open cl for RISC-V
 
Productive OpenCL Programming An Introduction to OpenCL Libraries with Array...
Productive OpenCL Programming An Introduction to OpenCL Libraries  with Array...Productive OpenCL Programming An Introduction to OpenCL Libraries  with Array...
Productive OpenCL Programming An Introduction to OpenCL Libraries with Array...
 
Pragmatic Optimization in Modern Programming - Demystifying the Compiler
Pragmatic Optimization in Modern Programming - Demystifying the CompilerPragmatic Optimization in Modern Programming - Demystifying the Compiler
Pragmatic Optimization in Modern Programming - Demystifying the Compiler
 
LTO plugin
LTO pluginLTO plugin
LTO plugin
 
Advance ROP Attacks
Advance ROP AttacksAdvance ROP Attacks
Advance ROP Attacks
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments I
 
Instruction Combine in LLVM
Instruction Combine in LLVMInstruction Combine in LLVM
Instruction Combine in LLVM
 
04 sequentialbasics 1
04 sequentialbasics 104 sequentialbasics 1
04 sequentialbasics 1
 
Team 6
Team 6Team 6
Team 6
 
Arm tools and roadmap for SVE compiler support
Arm tools and roadmap for SVE compiler supportArm tools and roadmap for SVE compiler support
Arm tools and roadmap for SVE compiler support
 
Ch7
Ch7Ch7
Ch7
 
Processor Verification Using Open Source Tools and the GCC Regression Test Suite
Processor Verification Using Open Source Tools and the GCC Regression Test SuiteProcessor Verification Using Open Source Tools and the GCC Regression Test Suite
Processor Verification Using Open Source Tools and the GCC Regression Test Suite
 
VLSI lab manual
VLSI lab manualVLSI lab manual
VLSI lab manual
 

Destacado

VLSI DESIGN Lecture
VLSI DESIGN LectureVLSI DESIGN Lecture
VLSI DESIGN Lecture
Kimi Wang
 
Vlsi lab viva question with answers
Vlsi lab viva question with answersVlsi lab viva question with answers
Vlsi lab viva question with answers
Ayesha Ambreen
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
illpa
 

Destacado (13)

Back end[1] debdeep
Back end[1]  debdeepBack end[1]  debdeep
Back end[1] debdeep
 
Verilogforlab
VerilogforlabVerilogforlab
Verilogforlab
 
Process management
Process managementProcess management
Process management
 
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
 
Stealth technology
Stealth technologyStealth technology
Stealth technology
 
VerilogHDL_Utkarsh_kulshrestha
VerilogHDL_Utkarsh_kulshresthaVerilogHDL_Utkarsh_kulshrestha
VerilogHDL_Utkarsh_kulshrestha
 
VLSI DESIGN Lecture
VLSI DESIGN LectureVLSI DESIGN Lecture
VLSI DESIGN Lecture
 
VTU ECE 7th sem VLSI lab manual
VTU ECE 7th sem VLSI lab manualVTU ECE 7th sem VLSI lab manual
VTU ECE 7th sem VLSI lab manual
 
Vlsi design-manual
Vlsi design-manualVlsi design-manual
Vlsi design-manual
 
Fir filter_utkarsh_kulshrestha
Fir filter_utkarsh_kulshresthaFir filter_utkarsh_kulshrestha
Fir filter_utkarsh_kulshrestha
 
Analog vlsi
Analog vlsiAnalog vlsi
Analog vlsi
 
Vlsi lab viva question with answers
Vlsi lab viva question with answersVlsi lab viva question with answers
Vlsi lab viva question with answers
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 

Similar a Vlsi lab2

Cadence GenusTutorial------------ .pdf.pdf
Cadence GenusTutorial------------ .pdf.pdfCadence GenusTutorial------------ .pdf.pdf
Cadence GenusTutorial------------ .pdf.pdf
SamHoney6
 
Cray XT Porting, Scaling, and Optimization Best Practices
Cray XT Porting, Scaling, and Optimization Best PracticesCray XT Porting, Scaling, and Optimization Best Practices
Cray XT Porting, Scaling, and Optimization Best Practices
Jeff Larkin
 
MattsonTutorialSC14.pptx
MattsonTutorialSC14.pptxMattsonTutorialSC14.pptx
MattsonTutorialSC14.pptx
gopikahari7
 
Unit 5_Realizing Applications in FPGA.pdf
Unit 5_Realizing Applications in FPGA.pdfUnit 5_Realizing Applications in FPGA.pdf
Unit 5_Realizing Applications in FPGA.pdf
kanyaakiran
 
Prepare a Verilog HDL code for the following register Positive Edge.pdf
Prepare a Verilog HDL code for the following register  Positive Edge.pdfPrepare a Verilog HDL code for the following register  Positive Edge.pdf
Prepare a Verilog HDL code for the following register Positive Edge.pdf
ezonesolutions
 
VLSI Study experiments
VLSI Study experimentsVLSI Study experiments
VLSI Study experiments
Gouthaman V
 
May2010 hex-core-opt
May2010 hex-core-optMay2010 hex-core-opt
May2010 hex-core-opt
Jeff Larkin
 

Similar a Vlsi lab2 (20)

Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
Cadence GenusTutorial------------ .pdf.pdf
Cadence GenusTutorial------------ .pdf.pdfCadence GenusTutorial------------ .pdf.pdf
Cadence GenusTutorial------------ .pdf.pdf
 
S6 cad5
S6 cad5S6 cad5
S6 cad5
 
Cray XT Porting, Scaling, and Optimization Best Practices
Cray XT Porting, Scaling, and Optimization Best PracticesCray XT Porting, Scaling, and Optimization Best Practices
Cray XT Porting, Scaling, and Optimization Best Practices
 
A New Chapter of Data Processing with CDK
A New Chapter of Data Processing with CDKA New Chapter of Data Processing with CDK
A New Chapter of Data Processing with CDK
 
MattsonTutorialSC14.pptx
MattsonTutorialSC14.pptxMattsonTutorialSC14.pptx
MattsonTutorialSC14.pptx
 
dokumen.tips_logic-synthesis-report.pdf
dokumen.tips_logic-synthesis-report.pdfdokumen.tips_logic-synthesis-report.pdf
dokumen.tips_logic-synthesis-report.pdf
 
Lec15 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- EPIC VLIW
Lec15 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- EPIC VLIWLec15 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- EPIC VLIW
Lec15 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- EPIC VLIW
 
VLSI lab manual Part A, VTU 7the sem KIT-tiptur
VLSI lab manual Part A, VTU 7the sem KIT-tipturVLSI lab manual Part A, VTU 7the sem KIT-tiptur
VLSI lab manual Part A, VTU 7the sem KIT-tiptur
 
Session1
Session1Session1
Session1
 
Unit 5_Realizing Applications in FPGA.pdf
Unit 5_Realizing Applications in FPGA.pdfUnit 5_Realizing Applications in FPGA.pdf
Unit 5_Realizing Applications in FPGA.pdf
 
Tutor1
Tutor1Tutor1
Tutor1
 
tools.ppt
tools.ppttools.ppt
tools.ppt
 
VLSI
VLSIVLSI
VLSI
 
Mkl mic lab_0
Mkl mic lab_0Mkl mic lab_0
Mkl mic lab_0
 
Prepare a Verilog HDL code for the following register Positive Edge.pdf
Prepare a Verilog HDL code for the following register  Positive Edge.pdfPrepare a Verilog HDL code for the following register  Positive Edge.pdf
Prepare a Verilog HDL code for the following register Positive Edge.pdf
 
VLSI Study experiments
VLSI Study experimentsVLSI Study experiments
VLSI Study experiments
 
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf
 
May2010 hex-core-opt
May2010 hex-core-optMay2010 hex-core-opt
May2010 hex-core-opt
 

Último

1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdf
QucHHunhnh
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
negromaestrong
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
ZurliaSoop
 

Último (20)

Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdf
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptx
 
Dyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptxDyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptx
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptx
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptx
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 

Vlsi lab2

  • 1. By ………….. Ssh –X anukulece@192.168.1.3 Anukul123
  • 2. .v to .vg and .sdc (Digital design flow)  Go to the folder having .v file and fsa0m_a_generic_core_tt1p8v25c.lib  rc -gui  read_hdl gggg.v(pit bull)  set_attribute library fsa0m_a_generic_core_tt1p8v25c.lib //setting the attribute from generic core having typical value temperature 25 degree c  elaborate //it extracted your design over the -gui  define_clock –p 2000 –name clk [ find /des* -port ports_in/clk] //definition of the clock of design N.I.T Jalandhar, VLSI LAB. Dpt. of ECE 2
  • 3. Synthesize –to _mapped  report timing  report power  write_hdl > gggg_net_list.vg  write_sdc > gggg_sdc.sdc N.I.T Jalandhar, VLSI LAB. Dpt. of ECE 3
  • 4. Some problem during conversion .v to .vg,.sdc  In always block if u have two edge trigger then u have to separate that with ‘or’ in place of ‘,’. Example: always@(posedge clk,posedge rst) F always@(posedge clk or posedge rst) T  Have practice of writing in two line while defining output variable Example: output reg out; F output out; reg out; T  Initial command is not synthesizable in cadence. N.I.T Jalandhar, VLSI LAB. Dpt. of ECE 4
  • 5. Backend(make new folder have the .vg,.sdc,.lib,and .lef files)  In .lef file u will find header file , antenna rule file and macro file for appropriate rule  In Soc encounter type  encounter  Common timing library  fsa0m_a_t33_generic_io_tt1p8v25c.lib  in design tab import design add generic core 1.header6b55.lef 2. fsa0m_a_generic_core.lef 3. FSA0M_A_GENERIC_CORE_ANT_V55.4.lef  Design import----advanced--------power--------vdd and ground and save as gggg.conf(configuration)------select auto design  Again same as .lef put the .io file  Floor planning and partioning  In floor planning ……………………leave boundary core to left N.I.T Jalandhar, VLSI LAB. Dpt. of ECE 5
  • 6. Power rail…..add strips Set_to_set distance 50 Placement -----standard cell and blocks …………go to layout view After routing connection got modified………. Analysize timing at each and every step  Change property by hitting ‘q-key’  Clock routing  Put some filler cell N.I.T Jalandhar, VLSI LAB. Dpt. of ECE 6
  • 7. Lab 6(Analog Design Flow)  Now time to switch analog mode manually design the inverter and draw the various characteristic.  Hit icfb  Provide library name (inv)And attach existing library to your cell.ie umc_180nm  Name the cell of your file(inv_cell)  Add instance by clicking on left side corresponding symbol or hit ‘i’ from keyboard……..add the required component from umc180 library or from basic library.  In next step go to analog environment and set accordingly. N.I.T Jalandhar, VLSI LAB. Dpt. of ECE 7
  • 8.  You can go for parametric analysis. N.I.T Jalandhar, VLSI LAB. Dpt. of ECE 8