SlideShare una empresa de Scribd logo
1 de 24
Descargar para leer sin conexión
A market leader in the field of technical training is glad to
organize a seminar on VLSI Design (VHDL) to shape the career
of bright students and to turn them into a great engineer. We
hope to have a good time with you..!!!

                                Your concern and co-operation is highly valuable to us.
                                                                         ___________
                                                                        Team CETPA
To compress the digital world.
To explore the hidden perfection and create the
  brain of a machine.



*The above two are considered as a very difficult
tasks in the field of electronics engineering, where
in fact it’s a very simple technology.
 VHDL is for coding models of a digital system.
 Reasons for modeling:
  ◦ Requirements specification
  ◦ Documentation
  ◦ Testing using simulation
  ◦ Formal verification
  ◦ Synthesis
 Goal:
  ◦ Most ‘reliable’ design process, with minimum cost
    and time
  ◦ Avoid design errors!
 VHDL is a programming language that allows one to
  model and develop complex digital systems in a
  dynamic environment.

 Object Oriented methodology for you C people can
  be observed -- modules can be used and reused.

 Allows you to designate in/out ports (bits) and
  specify behavior or response of the system.
 C is procedural language whereas VHDL is semi
  concurrent & semi sequential language.

 C is Case Sensitive whereas VHDL is case
  insensitive.

 There are some similarities, as with any
  programming language, but syntax and logic are
  quite different.
•   Interfaces (PORTS)
•   Behavior
•   Structure
•   Test Benches
•   Simulation
•   Synthesis
Dataflow
Behavioral
Structural


Kind of BORING sounding huh??
Well, it gets more exciting with the details !!
              :)
Uses statements that defines the actual
flow of data.....
 such as,
    x <= y     -- this is NOT less than equal to
                -- told you its not C

 this assigns the Boolean signal x to the value of Boolean
 signal y... i.e. x = y
 this will occur whenever y changes....
Entity declaration…
(Describes the input/output ports of a module)

 entity name            port names             port mode (direction)

entity reg4 is
    port ( d0, d1, d2, d3, en, clk : in bit;
                q0, q1, q2, q3 : out bit );
                                                         punctuation
end entity reg4;



                              port type
reserved words
 Architecture body
   Describes an implementation of an entity
   May be several per entity
 Behavioral architecture
   Describes the algorithm performed by the
    module
   Contains
    Process statements, each containing
       Sequential statements, including
        Signal assignment statements and
        Wait statements
 Omit entity at end of entity declaration.
 Omit architecture at end of architecture body.
 Omit is in process statement header.


   entity reg4 is                 architecture behav of reg4 is
   port ( d0, d1, d2 : in bit     begin
          d3, en, clk : in bit;       process (d0, ... )
       q0, q1, q2, q3 : out bit           ...
        );                            begin
   end reg4;                              ...
                                      end process ;
                                  end behav;
Structural architecture
   implements the module as a composition of
    subsystems
   contains
    ○ signal declarations, for internal interconnections
       the entity ports are also treated as signals
    ○ component instances
       instances of previously declared entity/architecture pairs
    ○ port maps in component instances
       connect signals to component ports
 An architecture can contain both behavioral
  and structural parts
   Process statements and component instances
    ○ Collectively called concurrent statements
   Processes can read and assign to signals
 Example: register-transfer-level (RTL) model
   Data path described structurally
   Control section described behaviorally
multiplier   multiplicand



shift_reg



control_           shift_
section            adder



                    reg




                  product
• Testing a design by simulation
• Use a test bench model
  – A model that uses your model
  – Apply test sequences to your inputs
  – Monitors values on output signals
     • Either using simulator.
     • Or with a process that verifies correct operation
     • Or logic analyzer.
 Discrete event simulation
   Time advances in discrete steps.
   When signal values change—events occur.
 A processes is sensitive to events on input
  signals
   Specified in wait statements.
   Resumes and schedules new values on output
    signals.
    ○ Schedules transactions.
    ○ Event on a signal if value changes.
Initial Design Entry   VHDL, Schematic, State Diagram


                       Optimize Boolean Expression
                        into a standard form
Logic Optimization     - To optimize area or speed

                       Minimized Blocks
Technology Mapping     - To minimize area

                       Where the logic block is placed ?
    Placement          - With optimum routing wire

                       Connection between cells
      Routing          - To minimize area.


                       Used to configure the final circuit
Programming Unit
• Implement the VHDL portion of coding for synthesis.
• Identify the differences between behavioral and
  structural coding styles.
• Distinguish coding for synthesis versus coding for
  simulation.
• Use scalar and composite data types to represent
  information.
• Use concurrent and sequential control structure to
  regulate information flow.
• Implement common VHDL constructs (Finite State
  Machines [FSMs], RAM/ROM data structures).
• Executable specification.
• Functionality separated from implementation.
• Simulate early and fast (Manage complexity)
• Explore design alternatives.
• Get feedback (Produce better designs)
• Automatic synthesis and test generation (ATPG for
  ASICs)
• Increase productivity (Shorten time-to-market)
• Technology and tool independence.
• Portable design data (Protect investment)
•   Digital Signal Processing.
•   IC Testing & Analysis.
•   FPGA Design Verification.
•   FPGA Development.
•   Hardware Design.
•   IC designing.
•   ASIC Development.
• THANK YOU
          E-Mail
    Gaurav_rai@live.com




•

Más contenido relacionado

La actualidad más candente

La actualidad más candente (20)

Introduction to-vhdl
Introduction to-vhdlIntroduction to-vhdl
Introduction to-vhdl
 
Vhdl
VhdlVhdl
Vhdl
 
Hdl
HdlHdl
Hdl
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)
 
VHDL course
VHDL courseVHDL course
VHDL course
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
Vhdl basic unit-2
Vhdl basic unit-2Vhdl basic unit-2
Vhdl basic unit-2
 
VHDL
VHDLVHDL
VHDL
 
Verilog
VerilogVerilog
Verilog
 
An Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprAn Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl ppr
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Verilog
VerilogVerilog
Verilog
 
Verilog HDL - 3
Verilog HDL - 3Verilog HDL - 3
Verilog HDL - 3
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
 
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 
Vhdl
VhdlVhdl
Vhdl
 

Destacado

Diseminación de información independiente de medicamentos / Martín Cañás - Fu...
Diseminación de información independiente de medicamentos / Martín Cañás - Fu...Diseminación de información independiente de medicamentos / Martín Cañás - Fu...
Diseminación de información independiente de medicamentos / Martín Cañás - Fu...EUROsociAL II
 
Summer training
Summer trainingSummer training
Summer trainingArshit Rai
 
ความเป็นมาYoutube
ความเป็นมาYoutubeความเป็นมาYoutube
ความเป็นมาYoutubenong55
 
Ruang antar partikel pori2
Ruang antar partikel pori2Ruang antar partikel pori2
Ruang antar partikel pori2Joko Tritunggal
 
Electrónica analógica
Electrónica analógicaElectrónica analógica
Electrónica analógicasonsolesbar
 

Destacado (6)

Diseminación de información independiente de medicamentos / Martín Cañás - Fu...
Diseminación de información independiente de medicamentos / Martín Cañás - Fu...Diseminación de información independiente de medicamentos / Martín Cañás - Fu...
Diseminación de información independiente de medicamentos / Martín Cañás - Fu...
 
Summer training
Summer trainingSummer training
Summer training
 
ความเป็นมาYoutube
ความเป็นมาYoutubeความเป็นมาYoutube
ความเป็นมาYoutube
 
Ruang antar partikel pori2
Ruang antar partikel pori2Ruang antar partikel pori2
Ruang antar partikel pori2
 
Lehigh Valley Pennsylvania at a Glance - Economic Development 2016
Lehigh Valley Pennsylvania at a Glance - Economic Development 2016Lehigh Valley Pennsylvania at a Glance - Economic Development 2016
Lehigh Valley Pennsylvania at a Glance - Economic Development 2016
 
Electrónica analógica
Electrónica analógicaElectrónica analógica
Electrónica analógica
 

Similar a Summer training vhdl

L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairloyad20119
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_finalAkash Chowdhury
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J GreavesSatya Harish
 
Basics of Verilog.ppt
Basics of Verilog.pptBasics of Verilog.ppt
Basics of Verilog.pptCoEBMSITM
 
Verilog Hardware Description Language.ppt
Verilog Hardware Description Language.pptVerilog Hardware Description Language.ppt
Verilog Hardware Description Language.pptMrRRThirrunavukkaras
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training pptBhagwan Lal Teli
 
Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...VLSICS Design
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxMalligaarjunanN
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Abhilash Nair
 

Similar a Summer training vhdl (20)

Vhdl new
Vhdl newVhdl new
Vhdl new
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Verilog
VerilogVerilog
Verilog
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
verification resume
verification resumeverification resume
verification resume
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J Greaves
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
S6 cad5
S6 cad5S6 cad5
S6 cad5
 
Basics of Verilog.ppt
Basics of Verilog.pptBasics of Verilog.ppt
Basics of Verilog.ppt
 
Verilog Hardware Description Language.ppt
Verilog Hardware Description Language.pptVerilog Hardware Description Language.ppt
Verilog Hardware Description Language.ppt
 
VLSI
VLSIVLSI
VLSI
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...
 
2nd presantation
2nd presantation2nd presantation
2nd presantation
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 

Más de Arshit Rai

Summer training introduction to matlab
Summer training  introduction to matlabSummer training  introduction to matlab
Summer training introduction to matlabArshit Rai
 
Summer training in matlab
Summer training in matlabSummer training in matlab
Summer training in matlabArshit Rai
 
Summer training plc & scada
Summer training  plc & scada Summer training  plc & scada
Summer training plc & scada Arshit Rai
 
Summer training matlab
Summer training matlab Summer training matlab
Summer training matlab Arshit Rai
 
Summer training embedded system
Summer training embedded systemSummer training embedded system
Summer training embedded systemArshit Rai
 
Summer training embedded system and its scope
Summer training  embedded system and its scopeSummer training  embedded system and its scope
Summer training embedded system and its scopeArshit Rai
 
Summer training robotics 2010
Summer training   robotics 2010Summer training   robotics 2010
Summer training robotics 2010Arshit Rai
 
Summer training java
Summer training javaSummer training java
Summer training javaArshit Rai
 
Summer training oracle
Summer training   oracle Summer training   oracle
Summer training oracle Arshit Rai
 
Summer training
Summer trainingSummer training
Summer trainingArshit Rai
 
Summer training plc & scada
Summer training  plc & scada Summer training  plc & scada
Summer training plc & scada Arshit Rai
 
Summer training matlab
Summer training matlab Summer training matlab
Summer training matlab Arshit Rai
 
Summer training java
Summer training javaSummer training java
Summer training javaArshit Rai
 
Summer training embedded system
Summer training embedded systemSummer training embedded system
Summer training embedded systemArshit Rai
 
Summer training embedded system and its scope
Summer training  embedded system and its scopeSummer training  embedded system and its scope
Summer training embedded system and its scopeArshit Rai
 
Summer training robotics 2010
Summer training   robotics 2010Summer training   robotics 2010
Summer training robotics 2010Arshit Rai
 
Summer training oracle
Summer training   oracle Summer training   oracle
Summer training oracle Arshit Rai
 
Summer training introduction on embedded
Summer training   introduction on embedded Summer training   introduction on embedded
Summer training introduction on embedded Arshit Rai
 
Summer training projects
Summer training projectsSummer training projects
Summer training projectsArshit Rai
 
Summer training in lucknow cadd new (civil general)
Summer training in lucknow cadd new (civil general)Summer training in lucknow cadd new (civil general)
Summer training in lucknow cadd new (civil general)Arshit Rai
 

Más de Arshit Rai (20)

Summer training introduction to matlab
Summer training  introduction to matlabSummer training  introduction to matlab
Summer training introduction to matlab
 
Summer training in matlab
Summer training in matlabSummer training in matlab
Summer training in matlab
 
Summer training plc & scada
Summer training  plc & scada Summer training  plc & scada
Summer training plc & scada
 
Summer training matlab
Summer training matlab Summer training matlab
Summer training matlab
 
Summer training embedded system
Summer training embedded systemSummer training embedded system
Summer training embedded system
 
Summer training embedded system and its scope
Summer training  embedded system and its scopeSummer training  embedded system and its scope
Summer training embedded system and its scope
 
Summer training robotics 2010
Summer training   robotics 2010Summer training   robotics 2010
Summer training robotics 2010
 
Summer training java
Summer training javaSummer training java
Summer training java
 
Summer training oracle
Summer training   oracle Summer training   oracle
Summer training oracle
 
Summer training
Summer trainingSummer training
Summer training
 
Summer training plc & scada
Summer training  plc & scada Summer training  plc & scada
Summer training plc & scada
 
Summer training matlab
Summer training matlab Summer training matlab
Summer training matlab
 
Summer training java
Summer training javaSummer training java
Summer training java
 
Summer training embedded system
Summer training embedded systemSummer training embedded system
Summer training embedded system
 
Summer training embedded system and its scope
Summer training  embedded system and its scopeSummer training  embedded system and its scope
Summer training embedded system and its scope
 
Summer training robotics 2010
Summer training   robotics 2010Summer training   robotics 2010
Summer training robotics 2010
 
Summer training oracle
Summer training   oracle Summer training   oracle
Summer training oracle
 
Summer training introduction on embedded
Summer training   introduction on embedded Summer training   introduction on embedded
Summer training introduction on embedded
 
Summer training projects
Summer training projectsSummer training projects
Summer training projects
 
Summer training in lucknow cadd new (civil general)
Summer training in lucknow cadd new (civil general)Summer training in lucknow cadd new (civil general)
Summer training in lucknow cadd new (civil general)
 

Último

Student Profile Sample - We help schools to connect the data they have, with ...
Student Profile Sample - We help schools to connect the data they have, with ...Student Profile Sample - We help schools to connect the data they have, with ...
Student Profile Sample - We help schools to connect the data they have, with ...Seán Kennedy
 
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)lakshayb543
 
Expanded definition: technical and operational
Expanded definition: technical and operationalExpanded definition: technical and operational
Expanded definition: technical and operationalssuser3e220a
 
How to Make a Duplicate of Your Odoo 17 Database
How to Make a Duplicate of Your Odoo 17 DatabaseHow to Make a Duplicate of Your Odoo 17 Database
How to Make a Duplicate of Your Odoo 17 DatabaseCeline George
 
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptxINTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptxHumphrey A Beña
 
Measures of Position DECILES for ungrouped data
Measures of Position DECILES for ungrouped dataMeasures of Position DECILES for ungrouped data
Measures of Position DECILES for ungrouped dataBabyAnnMotar
 
How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17Celine George
 
ROLES IN A STAGE PRODUCTION in arts.pptx
ROLES IN A STAGE PRODUCTION in arts.pptxROLES IN A STAGE PRODUCTION in arts.pptx
ROLES IN A STAGE PRODUCTION in arts.pptxVanesaIglesias10
 
Congestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationCongestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationdeepaannamalai16
 
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...DhatriParmar
 
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptxMan or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptxDhatriParmar
 
Textual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSTextual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSMae Pangan
 
Mental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsMental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsPooky Knightsmith
 
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvRicaMaeCastro1
 
4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptxmary850239
 
Beauty Amidst the Bytes_ Unearthing Unexpected Advantages of the Digital Wast...
Beauty Amidst the Bytes_ Unearthing Unexpected Advantages of the Digital Wast...Beauty Amidst the Bytes_ Unearthing Unexpected Advantages of the Digital Wast...
Beauty Amidst the Bytes_ Unearthing Unexpected Advantages of the Digital Wast...DhatriParmar
 
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQ-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQuiz Club NITW
 
MS4 level being good citizen -imperative- (1) (1).pdf
MS4 level   being good citizen -imperative- (1) (1).pdfMS4 level   being good citizen -imperative- (1) (1).pdf
MS4 level being good citizen -imperative- (1) (1).pdfMr Bounab Samir
 

Último (20)

Paradigm shift in nursing research by RS MEHTA
Paradigm shift in nursing research by RS MEHTAParadigm shift in nursing research by RS MEHTA
Paradigm shift in nursing research by RS MEHTA
 
Student Profile Sample - We help schools to connect the data they have, with ...
Student Profile Sample - We help schools to connect the data they have, with ...Student Profile Sample - We help schools to connect the data they have, with ...
Student Profile Sample - We help schools to connect the data they have, with ...
 
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
 
Expanded definition: technical and operational
Expanded definition: technical and operationalExpanded definition: technical and operational
Expanded definition: technical and operational
 
How to Make a Duplicate of Your Odoo 17 Database
How to Make a Duplicate of Your Odoo 17 DatabaseHow to Make a Duplicate of Your Odoo 17 Database
How to Make a Duplicate of Your Odoo 17 Database
 
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptxINTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
 
Measures of Position DECILES for ungrouped data
Measures of Position DECILES for ungrouped dataMeasures of Position DECILES for ungrouped data
Measures of Position DECILES for ungrouped data
 
How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17
 
ROLES IN A STAGE PRODUCTION in arts.pptx
ROLES IN A STAGE PRODUCTION in arts.pptxROLES IN A STAGE PRODUCTION in arts.pptx
ROLES IN A STAGE PRODUCTION in arts.pptx
 
INCLUSIVE EDUCATION PRACTICES FOR TEACHERS AND TRAINERS.pptx
INCLUSIVE EDUCATION PRACTICES FOR TEACHERS AND TRAINERS.pptxINCLUSIVE EDUCATION PRACTICES FOR TEACHERS AND TRAINERS.pptx
INCLUSIVE EDUCATION PRACTICES FOR TEACHERS AND TRAINERS.pptx
 
Congestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationCongestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentation
 
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
 
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptxMan or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
 
Textual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSTextual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHS
 
Mental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsMental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young minds
 
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
 
4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx
 
Beauty Amidst the Bytes_ Unearthing Unexpected Advantages of the Digital Wast...
Beauty Amidst the Bytes_ Unearthing Unexpected Advantages of the Digital Wast...Beauty Amidst the Bytes_ Unearthing Unexpected Advantages of the Digital Wast...
Beauty Amidst the Bytes_ Unearthing Unexpected Advantages of the Digital Wast...
 
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQ-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
 
MS4 level being good citizen -imperative- (1) (1).pdf
MS4 level   being good citizen -imperative- (1) (1).pdfMS4 level   being good citizen -imperative- (1) (1).pdf
MS4 level being good citizen -imperative- (1) (1).pdf
 

Summer training vhdl

  • 1. A market leader in the field of technical training is glad to organize a seminar on VLSI Design (VHDL) to shape the career of bright students and to turn them into a great engineer. We hope to have a good time with you..!!! Your concern and co-operation is highly valuable to us. ___________ Team CETPA
  • 2.
  • 3. To compress the digital world. To explore the hidden perfection and create the brain of a machine. *The above two are considered as a very difficult tasks in the field of electronics engineering, where in fact it’s a very simple technology.
  • 4.  VHDL is for coding models of a digital system.  Reasons for modeling: ◦ Requirements specification ◦ Documentation ◦ Testing using simulation ◦ Formal verification ◦ Synthesis  Goal: ◦ Most ‘reliable’ design process, with minimum cost and time ◦ Avoid design errors!
  • 5.  VHDL is a programming language that allows one to model and develop complex digital systems in a dynamic environment.  Object Oriented methodology for you C people can be observed -- modules can be used and reused.  Allows you to designate in/out ports (bits) and specify behavior or response of the system.
  • 6.  C is procedural language whereas VHDL is semi concurrent & semi sequential language.  C is Case Sensitive whereas VHDL is case insensitive.  There are some similarities, as with any programming language, but syntax and logic are quite different.
  • 7. Interfaces (PORTS) • Behavior • Structure • Test Benches • Simulation • Synthesis
  • 8. Dataflow Behavioral Structural Kind of BORING sounding huh?? Well, it gets more exciting with the details !! :)
  • 9. Uses statements that defines the actual flow of data..... such as, x <= y -- this is NOT less than equal to -- told you its not C this assigns the Boolean signal x to the value of Boolean signal y... i.e. x = y this will occur whenever y changes....
  • 10. Entity declaration… (Describes the input/output ports of a module) entity name port names port mode (direction) entity reg4 is port ( d0, d1, d2, d3, en, clk : in bit; q0, q1, q2, q3 : out bit ); punctuation end entity reg4; port type reserved words
  • 11.  Architecture body  Describes an implementation of an entity  May be several per entity  Behavioral architecture  Describes the algorithm performed by the module  Contains Process statements, each containing  Sequential statements, including Signal assignment statements and Wait statements
  • 12.  Omit entity at end of entity declaration.  Omit architecture at end of architecture body.  Omit is in process statement header. entity reg4 is architecture behav of reg4 is port ( d0, d1, d2 : in bit begin d3, en, clk : in bit; process (d0, ... ) q0, q1, q2, q3 : out bit ... ); begin end reg4; ... end process ; end behav;
  • 13. Structural architecture  implements the module as a composition of subsystems  contains ○ signal declarations, for internal interconnections  the entity ports are also treated as signals ○ component instances  instances of previously declared entity/architecture pairs ○ port maps in component instances  connect signals to component ports
  • 14.  An architecture can contain both behavioral and structural parts  Process statements and component instances ○ Collectively called concurrent statements  Processes can read and assign to signals  Example: register-transfer-level (RTL) model  Data path described structurally  Control section described behaviorally
  • 15. multiplier multiplicand shift_reg control_ shift_ section adder reg product
  • 16. • Testing a design by simulation • Use a test bench model – A model that uses your model – Apply test sequences to your inputs – Monitors values on output signals • Either using simulator. • Or with a process that verifies correct operation • Or logic analyzer.
  • 17.  Discrete event simulation  Time advances in discrete steps.  When signal values change—events occur.  A processes is sensitive to events on input signals  Specified in wait statements.  Resumes and schedules new values on output signals. ○ Schedules transactions. ○ Event on a signal if value changes.
  • 18. Initial Design Entry VHDL, Schematic, State Diagram Optimize Boolean Expression into a standard form Logic Optimization - To optimize area or speed Minimized Blocks Technology Mapping - To minimize area Where the logic block is placed ? Placement - With optimum routing wire Connection between cells Routing - To minimize area. Used to configure the final circuit Programming Unit
  • 19.
  • 20.
  • 21. • Implement the VHDL portion of coding for synthesis. • Identify the differences between behavioral and structural coding styles. • Distinguish coding for synthesis versus coding for simulation. • Use scalar and composite data types to represent information. • Use concurrent and sequential control structure to regulate information flow. • Implement common VHDL constructs (Finite State Machines [FSMs], RAM/ROM data structures).
  • 22. • Executable specification. • Functionality separated from implementation. • Simulate early and fast (Manage complexity) • Explore design alternatives. • Get feedback (Produce better designs) • Automatic synthesis and test generation (ATPG for ASICs) • Increase productivity (Shorten time-to-market) • Technology and tool independence. • Portable design data (Protect investment)
  • 23. Digital Signal Processing. • IC Testing & Analysis. • FPGA Design Verification. • FPGA Development. • Hardware Design. • IC designing. • ASIC Development.
  • 24. • THANK YOU E-Mail Gaurav_rai@live.com •