SlideShare una empresa de Scribd logo
1 de 40
Acceleration of Analog Physical Design HiPerDevGen™ - Structure Generation
Factors Driving the need for Analog Acceleration Shorter Product Development Times 1 Shrinking Process Geometries 2 EDA advancement on other areas of M/S Design 3
Shorter Product Development Times Average IC Product Development Times “We need to re-assess design tools and practices to ensure we can achieve right first time design in a reasonable timeframe, and thus reach profitability sooner.” Douglas Pattullo, Director Field Technical Support, TSMC Europe  Early 1990’s cycle times 0	6	12	18	24	30 Today’s average cycle times 0	6	12	18	24	30 Shorter Product Development Times   Faster Time to Market
Effects of Shrinking Geometries Companies are most concerned about the challenges of higher mask costs, greater design complexity, IP costs and availability, and inadequate EDA tools.  Source: Kalypso Semiconductor Analysis 2009.
Effects of Shrinking Process Geometries Technology Cost Pressures As geometries shrink, mask and design costs go up TSMC’s wafer forecast shows a 40% CAGR (4x in 5 years) due to new designs in 90nm, 65nm and smaller Mask Costs ($M)   Design Costs ($k) First pass silicon is  an essential target for all semiconductor companies regardless of geometry                   350nm                                              90nm		    22nm Source: EETimes
Effects of Shrinking Process Geometries EDA Trends Development and support of Design Kits Hierarchical Verification Successful deployment of P&R Tools Can handle multi-million gate designs Use of greater processing power
Effects of Shrinking Process Geometries Transistor Count v Design Cycle Time  Transistor Count Design Time 90nm 250nm 45nm Design cycle times at 90nm are increasing!!  Why?? Analog Layout Design IS now a bottleneck!! Acceleration of this process is key
Analog Design – Bottleneck 1 Full automation approach has not gained traction Analog Automation has been a disappointment   Difficult to set up   Schematics need to be generated in defined formats   Complicated to Constrain Analog designers like to retain control 2 Very difficult to automate analog layout due to the ‘artistic’ nature of the process 3
Analog Physical Design Automation What do users want? Create efficient device placements from user-provided constraints Do this in a matter of minutes Easy to set-up and use  Compliments existing user environments Closely resemble handcrafted layout Allow designers to apply constraints to groups of devices Source: Jim Solomon, Founder Cadence
Our Approach Acceleration  Recognition and Generation of Common Structures ,[object Object]
Current Mirrors
Resistor DividersOur Approach Correct by Construction ,[object Object]
 DRC & LVS CleanConsistent High Quality ,[object Object],Is “Silicon Aware” ,[object Object],Analog Designers can easily tune the design ,[object Object],[object Object]
Quick & Easy Set-up Manufacturing Rules User friendly GUI for set-up of new technologies No CAD development required Instant generation of parameterized devices and structures 20 minutes for any new process Note: Tanner will provide technology set-ups free of charge
 Features of HiPerDevGen™   Linear Process Gradients   Mask Misalignment Implant Shadowing   Photolithographic Invariance   Current Flow Direction   Antenna /  VT Shift   WPE User Tuning Functionally Aware Floorplan Estimations Guarantees  Matching Layout  Optimization Parasitic  Aware HiPerDevGen™
 Features of HiPerDevGen™  Accelerates Layout time Optimized for Yield Double Contacts / Vias Support for DFM User Tuning Functionally Aware Guarantees  Matching Floorplan Estimations Parasitic  Aware Layout  Optimization HiPerDevGen™
 Features of HiPerDevGen™ User Tuning Functionally Aware Floorplan Estimations Guarantees  Matching Parasitic  Aware HiPerDevGen™ Considers device and interconnect parasitics Optimal solution based on user specific parasitic requirements Layout  Optimization
 Features of HiPerDevGen™ User Tuning Functionally Aware Floorplan  Estimations Guarantees  Matching Layout  Optimization Parasitic  Aware HiPerDevGen™  Ensures user defined matching, parasitic and performance requirements   Reduced Simulation Cycle
 Features of HiPerDevGen™ Understands functional differences between structures User Tuning Functionally Aware Floorplan Estimations Guarantees  Matching Layout  Optimizatons Parasitic  Aware HiPerDevGen™
 Features of HiPerDevGen™ User Tuning Functionally Aware Layout  Optimization Guarantees  Matching Floorplan Estimations Parasitic  Aware HiPerDevGen™ Prompt Floorplan Estimation
 Features of HiPerDevGen™ User Tuning Functionally Aware Floorplan 	Estimations Guarantees  Matching Layout Optimization Parasitic  Aware HiPerDevGen™
Current Mirror Generation
Current Mirror Generation
Current Mirror Generation
Current Mirror Generation
Current Mirror Generation
Current Mirror Generation
Current Mirror Generation
Differential Pair Generation
Differential Pair Generation
Differential Pair Generation
Differential Pair Generation
Differential Pair Generation
Differential Pair Generation
Differential Pair Generation
Typical Op Amp Schematic
Typical SDL Flow– Op Amp
HiPerDevGen: Structure Recognition Recognition of Current Mirrors  Recognition of Differential Pairs
HiPerDevGen Generation Generation of Current Mirrors  Generation of Differential Pairs
Completed Op-Amp Total Layout time  <1 hr !!

Más contenido relacionado

La actualidad más candente

La actualidad más candente (20)

Software hardware co-design using xilinx zynq soc
Software hardware co-design using xilinx zynq socSoftware hardware co-design using xilinx zynq soc
Software hardware co-design using xilinx zynq soc
 
Analysis and design of analog integrated circuits
Analysis and design of analog integrated circuitsAnalysis and design of analog integrated circuits
Analysis and design of analog integrated circuits
 
Kogge Stone Adder
Kogge Stone AdderKogge Stone Adder
Kogge Stone Adder
 
Vlsi circuit design
Vlsi circuit designVlsi circuit design
Vlsi circuit design
 
Cmos fabrication
Cmos fabricationCmos fabrication
Cmos fabrication
 
Finfets
FinfetsFinfets
Finfets
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
Channel routing
Channel routingChannel routing
Channel routing
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Phase locked loop
Phase locked loopPhase locked loop
Phase locked loop
 
MIPI DevCon 2016: Testing of MIPI High Speed PHY Standard Implementations
MIPI DevCon 2016: Testing of MIPI High Speed PHY Standard ImplementationsMIPI DevCon 2016: Testing of MIPI High Speed PHY Standard Implementations
MIPI DevCon 2016: Testing of MIPI High Speed PHY Standard Implementations
 
Oscillatorsppt
OscillatorspptOscillatorsppt
Oscillatorsppt
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
BGR
BGRBGR
BGR
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
 
3673 mosfet
3673 mosfet3673 mosfet
3673 mosfet
 
Short channel effects
Short channel effectsShort channel effects
Short channel effects
 
FPGA
FPGAFPGA
FPGA
 
Operational Amplifier + Active Filters
Operational Amplifier + Active FiltersOperational Amplifier + Active Filters
Operational Amplifier + Active Filters
 

Destacado

Design of an Analog CMOS based Interval Type-2 Fuzzy Logic Controller Chip
Design of an Analog CMOS based Interval Type-2 Fuzzy Logic Controller ChipDesign of an Analog CMOS based Interval Type-2 Fuzzy Logic Controller Chip
Design of an Analog CMOS based Interval Type-2 Fuzzy Logic Controller ChipWaqas Tariq
 
Design and simulation of sayeh processor using verilog copy 1445752708332
Design and simulation of sayeh processor using verilog   copy 1445752708332Design and simulation of sayeh processor using verilog   copy 1445752708332
Design and simulation of sayeh processor using verilog copy 1445752708332akanksha sharma
 
Trigate transistors and future processors
Trigate transistors and future processors Trigate transistors and future processors
Trigate transistors and future processors Chinmay Chepurwar
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concernasinghsaroj
 
Ehud tzuri 3 d challanges new
Ehud tzuri 3 d challanges    newEhud tzuri 3 d challanges    new
Ehud tzuri 3 d challanges newchiportal
 
Vlsi design-manual
Vlsi design-manualVlsi design-manual
Vlsi design-manualAmbuj Jha
 
Full custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encoderFull custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encodersrikanth kalemla
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate IJEEE
 
Lab inv l
Lab inv lLab inv l
Lab inv lmkkalai
 
3D or Tri-gate transistors
3D or Tri-gate transistors3D or Tri-gate transistors
3D or Tri-gate transistorsKaranvir Singh
 
finfet & dg-fet technology
finfet & dg-fet technologyfinfet & dg-fet technology
finfet & dg-fet technologyKritika Ramesh
 

Destacado (20)

Aicd cmos layouts
Aicd cmos layoutsAicd cmos layouts
Aicd cmos layouts
 
Layout rules
Layout rulesLayout rules
Layout rules
 
Design of an Analog CMOS based Interval Type-2 Fuzzy Logic Controller Chip
Design of an Analog CMOS based Interval Type-2 Fuzzy Logic Controller ChipDesign of an Analog CMOS based Interval Type-2 Fuzzy Logic Controller Chip
Design of an Analog CMOS based Interval Type-2 Fuzzy Logic Controller Chip
 
Multithreading Fundamentals
Multithreading FundamentalsMultithreading Fundamentals
Multithreading Fundamentals
 
Design and simulation of sayeh processor using verilog copy 1445752708332
Design and simulation of sayeh processor using verilog   copy 1445752708332Design and simulation of sayeh processor using verilog   copy 1445752708332
Design and simulation of sayeh processor using verilog copy 1445752708332
 
Trigate transistors and future processors
Trigate transistors and future processors Trigate transistors and future processors
Trigate transistors and future processors
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concern
 
Ehud tzuri 3 d challanges new
Ehud tzuri 3 d challanges    newEhud tzuri 3 d challanges    new
Ehud tzuri 3 d challanges new
 
Vlsi design-manual
Vlsi design-manualVlsi design-manual
Vlsi design-manual
 
Full custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encoderFull custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encoder
 
Atm Simulator
Atm SimulatorAtm Simulator
Atm Simulator
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate
 
VLSi
VLSiVLSi
VLSi
 
Lab inv l
Lab inv lLab inv l
Lab inv l
 
tri gate transistors
tri gate transistorstri gate transistors
tri gate transistors
 
Risc processors
Risc processorsRisc processors
Risc processors
 
RISC AND CISC PROCESSOR
RISC AND CISC PROCESSORRISC AND CISC PROCESSOR
RISC AND CISC PROCESSOR
 
3D or Tri-gate transistors
3D or Tri-gate transistors3D or Tri-gate transistors
3D or Tri-gate transistors
 
VTU ECE 7th sem VLSI lab manual
VTU ECE 7th sem VLSI lab manualVTU ECE 7th sem VLSI lab manual
VTU ECE 7th sem VLSI lab manual
 
finfet & dg-fet technology
finfet & dg-fet technologyfinfet & dg-fet technology
finfet & dg-fet technology
 

Similar a IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010

Siemens-PLM-NX-CAE-overview-br-X24
Siemens-PLM-NX-CAE-overview-br-X24Siemens-PLM-NX-CAE-overview-br-X24
Siemens-PLM-NX-CAE-overview-br-X24Brady Walther
 
Introduction to CAD-CAM.pptx
Introduction to CAD-CAM.pptxIntroduction to CAD-CAM.pptx
Introduction to CAD-CAM.pptxsuyogpatil49
 
JP Keynote Nikkei Embedded Processor Symposium 2002
JP Keynote Nikkei Embedded Processor Symposium 2002JP Keynote Nikkei Embedded Processor Symposium 2002
JP Keynote Nikkei Embedded Processor Symposium 2002Lee Flanagin
 
DesignTech Systems - DCS presentation Oct 2017
DesignTech Systems - DCS presentation Oct 2017DesignTech Systems - DCS presentation Oct 2017
DesignTech Systems - DCS presentation Oct 2017DesignTech Systems Ltd.
 
CFD Best Practices & Key Features
CFD Best Practices & Key FeaturesCFD Best Practices & Key Features
CFD Best Practices & Key FeaturesDesign World
 
Ag o product overview
Ag o product overviewAg o product overview
Ag o product overviewManoj Nagesh
 
Best Practices on Driving Design Decisions with Simulation
Best Practices on Driving Design Decisions with SimulationBest Practices on Driving Design Decisions with Simulation
Best Practices on Driving Design Decisions with SimulationDesign World
 
3D Functional Tolerancing And Annotation CATIA
3D Functional Tolerancing And Annotation CATIA3D Functional Tolerancing And Annotation CATIA
3D Functional Tolerancing And Annotation CATIALeslie Schulte
 
Use of ict in organisation Methods of production
Use of ict in organisation Methods of production Use of ict in organisation Methods of production
Use of ict in organisation Methods of production asad6103
 
Sdlc cource in_mumbai
Sdlc cource in_mumbaiSdlc cource in_mumbai
Sdlc cource in_mumbaivibrantuser
 
3DCS Dimensional Variation Analysis Integrated in Siemens NX CAD
3DCS Dimensional Variation Analysis Integrated in Siemens NX CAD3DCS Dimensional Variation Analysis Integrated in Siemens NX CAD
3DCS Dimensional Variation Analysis Integrated in Siemens NX CADBenjamin Reese
 
Using Metrology Software to Capture Data for Reverse Engineering
Using Metrology Software to Capture Data for Reverse EngineeringUsing Metrology Software to Capture Data for Reverse Engineering
Using Metrology Software to Capture Data for Reverse EngineeringDesign World
 
Concurrent Engineering- for Environment & Sustainability
Concurrent Engineering- for Environment & SustainabilityConcurrent Engineering- for Environment & Sustainability
Concurrent Engineering- for Environment & SustainabilityIRJET Journal
 
Strategic Advantages of implementing Enventive 6
Strategic Advantages of implementing Enventive 6Strategic Advantages of implementing Enventive 6
Strategic Advantages of implementing Enventive 6Alan Smith
 
Quick NC simulation & verification for high speed machining
Quick NC simulation & verification for high speed machiningQuick NC simulation & verification for high speed machining
Quick NC simulation & verification for high speed machiningLiu PeiLing
 
Introduction to Adaptive and 3DEXPERIENCE Cloud
Introduction to Adaptive and 3DEXPERIENCE CloudIntroduction to Adaptive and 3DEXPERIENCE Cloud
Introduction to Adaptive and 3DEXPERIENCE CloudAdaptive Corporation
 
BQ-Engg Design Services 2012
BQ-Engg Design Services 2012BQ-Engg Design Services 2012
BQ-Engg Design Services 2012NEERAJ SRIVASTAVA
 
Software requirements engineering
Software requirements engineeringSoftware requirements engineering
Software requirements engineeringAbdul Basit
 

Similar a IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010 (20)

Siemens-PLM-NX-CAE-overview-br-X24
Siemens-PLM-NX-CAE-overview-br-X24Siemens-PLM-NX-CAE-overview-br-X24
Siemens-PLM-NX-CAE-overview-br-X24
 
Introduction to CAD-CAM.pptx
Introduction to CAD-CAM.pptxIntroduction to CAD-CAM.pptx
Introduction to CAD-CAM.pptx
 
JP Keynote Nikkei Embedded Processor Symposium 2002
JP Keynote Nikkei Embedded Processor Symposium 2002JP Keynote Nikkei Embedded Processor Symposium 2002
JP Keynote Nikkei Embedded Processor Symposium 2002
 
DesignTech Systems - DCS presentation Oct 2017
DesignTech Systems - DCS presentation Oct 2017DesignTech Systems - DCS presentation Oct 2017
DesignTech Systems - DCS presentation Oct 2017
 
CFD Best Practices & Key Features
CFD Best Practices & Key FeaturesCFD Best Practices & Key Features
CFD Best Practices & Key Features
 
Ag o product overview
Ag o product overviewAg o product overview
Ag o product overview
 
Best Practices on Driving Design Decisions with Simulation
Best Practices on Driving Design Decisions with SimulationBest Practices on Driving Design Decisions with Simulation
Best Practices on Driving Design Decisions with Simulation
 
Kraig Kottenstette 102016.doc
Kraig Kottenstette 102016.docKraig Kottenstette 102016.doc
Kraig Kottenstette 102016.doc
 
3D Functional Tolerancing And Annotation CATIA
3D Functional Tolerancing And Annotation CATIA3D Functional Tolerancing And Annotation CATIA
3D Functional Tolerancing And Annotation CATIA
 
Use of ict in organisation Methods of production
Use of ict in organisation Methods of production Use of ict in organisation Methods of production
Use of ict in organisation Methods of production
 
Sdlc cource in_mumbai
Sdlc cource in_mumbaiSdlc cource in_mumbai
Sdlc cource in_mumbai
 
3DCS Dimensional Variation Analysis Integrated in Siemens NX CAD
3DCS Dimensional Variation Analysis Integrated in Siemens NX CAD3DCS Dimensional Variation Analysis Integrated in Siemens NX CAD
3DCS Dimensional Variation Analysis Integrated in Siemens NX CAD
 
Using Metrology Software to Capture Data for Reverse Engineering
Using Metrology Software to Capture Data for Reverse EngineeringUsing Metrology Software to Capture Data for Reverse Engineering
Using Metrology Software to Capture Data for Reverse Engineering
 
Concurrent Engineering- for Environment & Sustainability
Concurrent Engineering- for Environment & SustainabilityConcurrent Engineering- for Environment & Sustainability
Concurrent Engineering- for Environment & Sustainability
 
Strategic Advantages of implementing Enventive 6
Strategic Advantages of implementing Enventive 6Strategic Advantages of implementing Enventive 6
Strategic Advantages of implementing Enventive 6
 
Quick NC simulation & verification for high speed machining
Quick NC simulation & verification for high speed machiningQuick NC simulation & verification for high speed machining
Quick NC simulation & verification for high speed machining
 
Introduction to Adaptive and 3DEXPERIENCE Cloud
Introduction to Adaptive and 3DEXPERIENCE CloudIntroduction to Adaptive and 3DEXPERIENCE Cloud
Introduction to Adaptive and 3DEXPERIENCE Cloud
 
Executive Summary
Executive SummaryExecutive Summary
Executive Summary
 
BQ-Engg Design Services 2012
BQ-Engg Design Services 2012BQ-Engg Design Services 2012
BQ-Engg Design Services 2012
 
Software requirements engineering
Software requirements engineeringSoftware requirements engineering
Software requirements engineering
 

Último

The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?Antenna Manufacturer Coco
 
Evaluating the top large language models.pdf
Evaluating the top large language models.pdfEvaluating the top large language models.pdf
Evaluating the top large language models.pdfChristopherTHyatt
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...apidays
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Scriptwesley chun
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdfhans926745
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonAnna Loughnan Colquhoun
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsJoaquim Jorge
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...Neo4j
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)wesley chun
 

Último (20)

The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Evaluating the top large language models.pdf
Evaluating the top large language models.pdfEvaluating the top large language models.pdf
Evaluating the top large language models.pdf
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 

IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010

  • 1. Acceleration of Analog Physical Design HiPerDevGen™ - Structure Generation
  • 2. Factors Driving the need for Analog Acceleration Shorter Product Development Times 1 Shrinking Process Geometries 2 EDA advancement on other areas of M/S Design 3
  • 3. Shorter Product Development Times Average IC Product Development Times “We need to re-assess design tools and practices to ensure we can achieve right first time design in a reasonable timeframe, and thus reach profitability sooner.” Douglas Pattullo, Director Field Technical Support, TSMC Europe Early 1990’s cycle times 0 6 12 18 24 30 Today’s average cycle times 0 6 12 18 24 30 Shorter Product Development Times Faster Time to Market
  • 4. Effects of Shrinking Geometries Companies are most concerned about the challenges of higher mask costs, greater design complexity, IP costs and availability, and inadequate EDA tools. Source: Kalypso Semiconductor Analysis 2009.
  • 5. Effects of Shrinking Process Geometries Technology Cost Pressures As geometries shrink, mask and design costs go up TSMC’s wafer forecast shows a 40% CAGR (4x in 5 years) due to new designs in 90nm, 65nm and smaller Mask Costs ($M) Design Costs ($k) First pass silicon is an essential target for all semiconductor companies regardless of geometry 350nm 90nm 22nm Source: EETimes
  • 6. Effects of Shrinking Process Geometries EDA Trends Development and support of Design Kits Hierarchical Verification Successful deployment of P&R Tools Can handle multi-million gate designs Use of greater processing power
  • 7. Effects of Shrinking Process Geometries Transistor Count v Design Cycle Time Transistor Count Design Time 90nm 250nm 45nm Design cycle times at 90nm are increasing!! Why?? Analog Layout Design IS now a bottleneck!! Acceleration of this process is key
  • 8. Analog Design – Bottleneck 1 Full automation approach has not gained traction Analog Automation has been a disappointment Difficult to set up Schematics need to be generated in defined formats Complicated to Constrain Analog designers like to retain control 2 Very difficult to automate analog layout due to the ‘artistic’ nature of the process 3
  • 9. Analog Physical Design Automation What do users want? Create efficient device placements from user-provided constraints Do this in a matter of minutes Easy to set-up and use Compliments existing user environments Closely resemble handcrafted layout Allow designers to apply constraints to groups of devices Source: Jim Solomon, Founder Cadence
  • 10.
  • 12.
  • 13.
  • 14. Quick & Easy Set-up Manufacturing Rules User friendly GUI for set-up of new technologies No CAD development required Instant generation of parameterized devices and structures 20 minutes for any new process Note: Tanner will provide technology set-ups free of charge
  • 15. Features of HiPerDevGen™ Linear Process Gradients Mask Misalignment Implant Shadowing Photolithographic Invariance Current Flow Direction Antenna / VT Shift WPE User Tuning Functionally Aware Floorplan Estimations Guarantees Matching Layout Optimization Parasitic Aware HiPerDevGen™
  • 16. Features of HiPerDevGen™ Accelerates Layout time Optimized for Yield Double Contacts / Vias Support for DFM User Tuning Functionally Aware Guarantees Matching Floorplan Estimations Parasitic Aware Layout Optimization HiPerDevGen™
  • 17. Features of HiPerDevGen™ User Tuning Functionally Aware Floorplan Estimations Guarantees Matching Parasitic Aware HiPerDevGen™ Considers device and interconnect parasitics Optimal solution based on user specific parasitic requirements Layout Optimization
  • 18. Features of HiPerDevGen™ User Tuning Functionally Aware Floorplan Estimations Guarantees Matching Layout Optimization Parasitic Aware HiPerDevGen™ Ensures user defined matching, parasitic and performance requirements Reduced Simulation Cycle
  • 19. Features of HiPerDevGen™ Understands functional differences between structures User Tuning Functionally Aware Floorplan Estimations Guarantees Matching Layout Optimizatons Parasitic Aware HiPerDevGen™
  • 20. Features of HiPerDevGen™ User Tuning Functionally Aware Layout Optimization Guarantees Matching Floorplan Estimations Parasitic Aware HiPerDevGen™ Prompt Floorplan Estimation
  • 21. Features of HiPerDevGen™ User Tuning Functionally Aware Floorplan Estimations Guarantees Matching Layout Optimization Parasitic Aware HiPerDevGen™
  • 36. Typical Op Amp Schematic
  • 38. HiPerDevGen: Structure Recognition Recognition of Current Mirrors Recognition of Differential Pairs
  • 39. HiPerDevGen Generation Generation of Current Mirrors Generation of Differential Pairs
  • 40. Completed Op-Amp Total Layout time <1 hr !!
  • 41. Summary Problem Analog Layout is now a bottleneck Automation attempts have not gained traction Solution HiPerDevGen adopts an acceleration approach Generates high quality “first time right” layout Is “Silicon Aware” and understands process artefacts Gives the user complete control over the design Simple to set-up and use No change in design flow methodology
  • 42. Come See for Yourself! View a HiPerDevGen™Demo Tanner – Booth #1342 Tanner EDA User Event Thursday 17th June 2010 For more information visit www.tannnereda.com

Notas del editor

  1. “We all need to re-assess design tools and practices to ensure we can achieve right first time design in a reasonable timeframe, and thus can all reach profitability sooner.”Douglas Pattullo, Director Field Technical Support, TSMC Europe