SlideShare una empresa de Scribd logo
1 de 17
Topographical Synthesis


        Shankardas Deepti Bharat
                CGB0911002
                   VSD 532
  M.Sc. [Engg.] in VLSI System Design

Module Title: Full Chip Functional Verification
     Module Leader: Mr. Padmanaban K.




           M. S. Ramaiah School of Advanced Studies   1
Contents

•   Introduction

•   ASIC design flow

•   Topographical synthesis

•   Design compiler graphical

•   Key benefits of Topographical synthesis

•   Congestion

•   Advanced Arithmetic Optimization

•   Register retiming

•   Conclusion

•   References




                        M. S. Ramaiah School of Advanced Studies   2
Introduction

•   Traditionally congestion is analyzed and fixed only during the last stage of
    design i.e. during P&R.

•   Today this method is inefficient, as designer may be required to iterate back
    to the RTL and recode the RTL source to remove congestion-causing design
    characteristics.

•   This iterative process between synthesis & layout is time consuming.

•   These options are not optimal and can lead to missed schedules, missed
    design goals and result in added costs.




                              Figure 1. ASIC flow
                              M. S. Ramaiah School of Advanced Studies              3
ASIC design flow

Specifications


    HDL


  Functional
  verification


  Synthesis


     STA                                Topographical
                                          synthesis

     DFT


   Timing                                  Back end
 verification

        M. S. Ramaiah School of Advanced Studies        4
Topographical synthesis


•   Synopsys incorporated topographical synthesis technology into DC in 2005

•   Used to accurately predicts timing, area and power.

•   Ensures synthesis output correlates to actual layout.

•   Reduces the number of iterations required to close design goals eliminating
    the need for wire load models.

•   Early prediction of routing congestion and visualization of congestion hot
    spots and timing issues.

•   Allows RTL designers to fix design issues early, cutting time and improving
    scaling predictability.




                               M. S. Ramaiah School of Advanced Studies           5
Design Compiler Graphical


•   DC graphical provides the designer to preview layouts to decide on whether
    congestion is due to RTL structures or due to bad floor planning.

•   Includes Synopsys’ virtual global-routing technology that enables designers to
    predict wire-routing congestion during RTL synthesis.

•   Predicts congestion "hot spots" early in the design flow.

•   Provides visualization and analysis of the congested circuit regions.

•   Performs synthesis optimizations to minimize congestion in these areas.

•   Provides significant improvement in design time.




                              M. S. Ramaiah School of Advanced Studies               6
Key benefits of Topographical Technology (1/2)

•   Delivers best Quality of Results (QoR) in terms of area, timing, power and
    test Correlated to physical implementation.

•   Removes timing bottlenecks by creating fast critical paths.

•   Offers more flexibility for users to control optimization on specific areas of
    designs.

•   Distributed synthesis with automated chip synthesis.

•   Enables higher efficiency with integrated static timing analysis, test synthesis
    and power synthesis.

•   Support for multi voltage and multi supply.




                             M. S. Ramaiah School of Advanced Studies                  7
Key benefits of Topographical Technology (2/2)


•   Designers fix real design issues while still in synthesis and generate a better start
    point for physical design, eliminating costly iterations.

•   Designed for RTL designers and requires no physical design expertise or changes to
    the synthesis use model.

•   Delivers accurate correlation to post-layout timing, area and power without the
    need for WLM.




                               Figure 2. DC Ultra synthesis [1]

                                M. S. Ramaiah School of Advanced Studies                    8
Congestion


•   Routing congestion occurs when the resources (tracks) needed to route a design
    exceed the available resources.

•   Generates a routing-friendly net list topology that minimizes highly-congested
    structures and wire crossings in congested areas.




Congestion prediction
                                  Figure 3. DC graphical results [2]


                               M. S. Ramaiah School of Advanced Studies              9
Advanced Arithmetic Optimization

• To minimize performance and area impact of carry propagation, arithmetic
  trees in the HDL are optimized using carry-save arithmetic techniques.




                           Figure 4. Arithmetic optimization [2]

                             M. S. Ramaiah School of Advanced Studies        10
Powerful Critical Path Synthesis

•   Performs aggressive timing driven re-structuring, mapping and gate-level
    optimization.

•   Logic duplication for reducing the load seen by the critical path.

•   Buffer high fan out nets to improve total negative slack.




                     Figure 5. Register duplication [1]

                             M. S. Ramaiah School of Advanced Studies          11
Register Retiming

  •   Performs optimization of sequential logic by moving registers through logic
      boundaries to optimize timing with minimum area impact.

  •   Inserts pipelines registers in pure combinational circuits in order to meet
      performance and area requirements.

  •   Used along with datapath optimization algorithms.

  •   All these are performed in order to improve QoR.




Figure 6. Retiming designs with registers [1]    Figure 7. Retiming on combinational logic [1]

                              M. S. Ramaiah School of Advanced Studies                    12
Other Advantages

•   Better Control of Synthesis Cost-Function Priorities and Optimization Step
    It has a default cost function that prioritizes design rule requirements over timing and
    area constraints.
•   Infrastructure for Multicore
    Using an optimized scheme of distributed &
    multithreaded parallelization, which




                                                    # of days
    delivers 2X improvement in runtime on
    quad-core platforms.
•   Supports all popular industry
    standards formats
    Circuit Netlist: Verilog, SystemVerilog & VHDL.                         Gate count

                                                  Figure 8. Single core vs. Multi core runtimes [2]



                                 M. S. Ramaiah School of Advanced Studies                      13
Summary

•   Includes comprehensive optimization algorithms to deliver best-in-class
    quality of results.
•   The Topographical technology ensures results that correlate to layout,
    eliminating costly iterations between synthesis and physical implementation.
•   It remains to be the synthesis tool of choice with its advanced feature set and
    a proven track record of countless design successes.
•   It provides the ability to accurately predict, visualize and alleviate routing
    congestion, substantially reducing iterations between synthesis and physical
    implementation.




                               M. S. Ramaiah School of Advanced Studies               14
References


[1] Synopsys Inc. , (2006) ‘Design Compiler Ultra’ [online] available from
    <http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/D
    ocuments/DCUltra-ds.pdf>Retrieved on 26th Feb 2012
[2] Synopsys Inc. ,(2011) ‘Design Compiler Graphical’ [online] available from <
    http://www.synopsys.com/tools/implementation/rtlsynthesis/dcgraphical/Pag
    es/default.aspx>Retrieved on 26th Feb 2012




                              M. S. Ramaiah School of Advanced Studies            15
Thank You




M. S. Ramaiah School of Advanced Studies   16
Remarks



Sl. No.              Topic                    Max. marks            Marks
                                                                   obtained
  1            Quality of slides                     5
  2            Clarity of subject                    5
  3              Presentation                        5
  4       Effort and question handling               5
                Total                               20




                        M. S. Ramaiah School of Advanced Studies              17

Más contenido relacionado

La actualidad más candente

Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2SUNODH GARLAPATI
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.Ashish Singh
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Contamination delay
Contamination delayContamination delay
Contamination delayNima Afraz
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Polyteda: Power DRC/LVS, October 2016
Polyteda: Power DRC/LVS, October 2016Polyteda: Power DRC/LVS, October 2016
Polyteda: Power DRC/LVS, October 2016Oleksandra Nazola
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptxShivangPanara
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
VLSI circuit design process
VLSI circuit design processVLSI circuit design process
VLSI circuit design processVishal kakade
 
System on chip buses
System on chip busesSystem on chip buses
System on chip busesA B Shinde
 

La actualidad más candente (20)

Physical design
Physical design Physical design
Physical design
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
 
Eco
EcoEco
Eco
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Contamination delay
Contamination delayContamination delay
Contamination delay
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Polyteda: Power DRC/LVS, October 2016
Polyteda: Power DRC/LVS, October 2016Polyteda: Power DRC/LVS, October 2016
Polyteda: Power DRC/LVS, October 2016
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 
VLSI circuit design process
VLSI circuit design processVLSI circuit design process
VLSI circuit design process
 
GUI for DRV fix in ICC2
GUI for DRV fix in ICC2GUI for DRV fix in ICC2
GUI for DRV fix in ICC2
 
System on chip buses
System on chip busesSystem on chip buses
System on chip buses
 

Destacado

MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010Mahmudul Faisal
 
Low power tool paper
Low power tool paperLow power tool paper
Low power tool paperM Madan Gopal
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Sequential circuits in digital logic design
Sequential circuits in digital logic designSequential circuits in digital logic design
Sequential circuits in digital logic designNallapati Anindra
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic CircuitRamasubbu .P
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training pptBhagwan Lal Teli
 
Synthesis Examples
Synthesis ExamplesSynthesis Examples
Synthesis ExamplesMohamed Samy
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Chapter 4 flip flop for students
Chapter 4 flip flop for studentsChapter 4 flip flop for students
Chapter 4 flip flop for studentsCT Sabariah Salihin
 

Destacado (14)

MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010
 
Low power tool paper
Low power tool paperLow power tool paper
Low power tool paper
 
Sodc 1 Introduction
Sodc 1 IntroductionSodc 1 Introduction
Sodc 1 Introduction
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Physical design
Physical design Physical design
Physical design
 
Sequential circuits in digital logic design
Sequential circuits in digital logic designSequential circuits in digital logic design
Sequential circuits in digital logic design
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic Circuit
 
Flipflop
FlipflopFlipflop
Flipflop
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Synthesis Examples
Synthesis ExamplesSynthesis Examples
Synthesis Examples
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Chapter 4 flip flop for students
Chapter 4 flip flop for studentsChapter 4 flip flop for students
Chapter 4 flip flop for students
 

Similar a Topograhical synthesis

Research challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingResearch challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingSudhanshu Janwadkar
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical designDeiptii Das
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016srkkakarla
 
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...University of Maribor
 
Post Processing
Post Processing Post Processing
Post Processing KTN
 
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET Journal
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Alessio Bucaioni
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signallingKrishna Kumar
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthDeepak Shankar
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The SupercomputerAnkit Singh
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...ijceronline
 
Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez DataWorks Summit
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitSWINDONSilicon
 

Similar a Topograhical synthesis (20)

Research challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingResearch challenges in Reconfigurable Computing
Research challenges in Reconfigurable Computing
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016
 
Fahroo - Computational Mathematics - Spring Review 2012
Fahroo - Computational Mathematics - Spring Review 2012 Fahroo - Computational Mathematics - Spring Review 2012
Fahroo - Computational Mathematics - Spring Review 2012
 
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
 
computer architecture.
computer architecture.computer architecture.
computer architecture.
 
Post Processing
Post Processing Post Processing
Post Processing
 
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
 
defense_PPT
defense_PPTdefense_PPT
defense_PPT
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signalling
 
murali-resume
murali-resumemurali-resume
murali-resume
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidth
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The Supercomputer
 
Univa Presentation at DAC 2020
Univa Presentation at DAC 2020 Univa Presentation at DAC 2020
Univa Presentation at DAC 2020
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
 
Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez
 
CAOS: A CAD Framework for FPGA-Based Systems
CAOS: A CAD Framework for FPGA-Based SystemsCAOS: A CAD Framework for FPGA-Based Systems
CAOS: A CAD Framework for FPGA-Based Systems
 
Uzair's CV
Uzair's CVUzair's CV
Uzair's CV
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 

Más de Deiptii Das

Organic transistors
Organic transistorsOrganic transistors
Organic transistorsDeiptii Das
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage ReferenceDeiptii Das
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significanceDeiptii Das
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor propertiesDeiptii Das
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 

Más de Deiptii Das (7)

Organic transistors
Organic transistorsOrganic transistors
Organic transistors
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage Reference
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significance
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Hard ips pdf
Hard ips pdfHard ips pdf
Hard ips pdf
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 

Último

Keynote by Prof. Wurzer at Nordex about IP-design
Keynote by Prof. Wurzer at Nordex about IP-designKeynote by Prof. Wurzer at Nordex about IP-design
Keynote by Prof. Wurzer at Nordex about IP-designMIPLM
 
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)lakshayb543
 
Field Attribute Index Feature in Odoo 17
Field Attribute Index Feature in Odoo 17Field Attribute Index Feature in Odoo 17
Field Attribute Index Feature in Odoo 17Celine George
 
Roles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceRoles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceSamikshaHamane
 
ANG SEKTOR NG agrikultura.pptx QUARTER 4
ANG SEKTOR NG agrikultura.pptx QUARTER 4ANG SEKTOR NG agrikultura.pptx QUARTER 4
ANG SEKTOR NG agrikultura.pptx QUARTER 4MiaBumagat1
 
Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Celine George
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTiammrhaywood
 
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdfLike-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdfMr Bounab Samir
 
Procuring digital preservation CAN be quick and painless with our new dynamic...
Procuring digital preservation CAN be quick and painless with our new dynamic...Procuring digital preservation CAN be quick and painless with our new dynamic...
Procuring digital preservation CAN be quick and painless with our new dynamic...Jisc
 
ENGLISH6-Q4-W3.pptxqurter our high choom
ENGLISH6-Q4-W3.pptxqurter our high choomENGLISH6-Q4-W3.pptxqurter our high choom
ENGLISH6-Q4-W3.pptxqurter our high choomnelietumpap1
 
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxBarangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxCarlos105
 
ISYU TUNGKOL SA SEKSWLADIDA (ISSUE ABOUT SEXUALITY
ISYU TUNGKOL SA SEKSWLADIDA (ISSUE ABOUT SEXUALITYISYU TUNGKOL SA SEKSWLADIDA (ISSUE ABOUT SEXUALITY
ISYU TUNGKOL SA SEKSWLADIDA (ISSUE ABOUT SEXUALITYKayeClaireEstoconing
 
What is Model Inheritance in Odoo 17 ERP
What is Model Inheritance in Odoo 17 ERPWhat is Model Inheritance in Odoo 17 ERP
What is Model Inheritance in Odoo 17 ERPCeline George
 
Q4 English4 Week3 PPT Melcnmg-based.pptx
Q4 English4 Week3 PPT Melcnmg-based.pptxQ4 English4 Week3 PPT Melcnmg-based.pptx
Q4 English4 Week3 PPT Melcnmg-based.pptxnelietumpap1
 
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17Celine George
 
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptxECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptxiammrhaywood
 
How to Add Barcode on PDF Report in Odoo 17
How to Add Barcode on PDF Report in Odoo 17How to Add Barcode on PDF Report in Odoo 17
How to Add Barcode on PDF Report in Odoo 17Celine George
 

Último (20)

Keynote by Prof. Wurzer at Nordex about IP-design
Keynote by Prof. Wurzer at Nordex about IP-designKeynote by Prof. Wurzer at Nordex about IP-design
Keynote by Prof. Wurzer at Nordex about IP-design
 
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
 
Field Attribute Index Feature in Odoo 17
Field Attribute Index Feature in Odoo 17Field Attribute Index Feature in Odoo 17
Field Attribute Index Feature in Odoo 17
 
Roles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceRoles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in Pharmacovigilance
 
ANG SEKTOR NG agrikultura.pptx QUARTER 4
ANG SEKTOR NG agrikultura.pptx QUARTER 4ANG SEKTOR NG agrikultura.pptx QUARTER 4
ANG SEKTOR NG agrikultura.pptx QUARTER 4
 
Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
 
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdfLike-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
 
Procuring digital preservation CAN be quick and painless with our new dynamic...
Procuring digital preservation CAN be quick and painless with our new dynamic...Procuring digital preservation CAN be quick and painless with our new dynamic...
Procuring digital preservation CAN be quick and painless with our new dynamic...
 
ENGLISH6-Q4-W3.pptxqurter our high choom
ENGLISH6-Q4-W3.pptxqurter our high choomENGLISH6-Q4-W3.pptxqurter our high choom
ENGLISH6-Q4-W3.pptxqurter our high choom
 
YOUVE_GOT_EMAIL_PRELIMS_EL_DORADO_2024.pptx
YOUVE_GOT_EMAIL_PRELIMS_EL_DORADO_2024.pptxYOUVE_GOT_EMAIL_PRELIMS_EL_DORADO_2024.pptx
YOUVE_GOT_EMAIL_PRELIMS_EL_DORADO_2024.pptx
 
LEFT_ON_C'N_ PRELIMS_EL_DORADO_2024.pptx
LEFT_ON_C'N_ PRELIMS_EL_DORADO_2024.pptxLEFT_ON_C'N_ PRELIMS_EL_DORADO_2024.pptx
LEFT_ON_C'N_ PRELIMS_EL_DORADO_2024.pptx
 
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxBarangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
 
ISYU TUNGKOL SA SEKSWLADIDA (ISSUE ABOUT SEXUALITY
ISYU TUNGKOL SA SEKSWLADIDA (ISSUE ABOUT SEXUALITYISYU TUNGKOL SA SEKSWLADIDA (ISSUE ABOUT SEXUALITY
ISYU TUNGKOL SA SEKSWLADIDA (ISSUE ABOUT SEXUALITY
 
What is Model Inheritance in Odoo 17 ERP
What is Model Inheritance in Odoo 17 ERPWhat is Model Inheritance in Odoo 17 ERP
What is Model Inheritance in Odoo 17 ERP
 
Q4 English4 Week3 PPT Melcnmg-based.pptx
Q4 English4 Week3 PPT Melcnmg-based.pptxQ4 English4 Week3 PPT Melcnmg-based.pptx
Q4 English4 Week3 PPT Melcnmg-based.pptx
 
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
 
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptxECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
 
YOUVE GOT EMAIL_FINALS_EL_DORADO_2024.pptx
YOUVE GOT EMAIL_FINALS_EL_DORADO_2024.pptxYOUVE GOT EMAIL_FINALS_EL_DORADO_2024.pptx
YOUVE GOT EMAIL_FINALS_EL_DORADO_2024.pptx
 
How to Add Barcode on PDF Report in Odoo 17
How to Add Barcode on PDF Report in Odoo 17How to Add Barcode on PDF Report in Odoo 17
How to Add Barcode on PDF Report in Odoo 17
 

Topograhical synthesis

  • 1. Topographical Synthesis Shankardas Deepti Bharat CGB0911002 VSD 532 M.Sc. [Engg.] in VLSI System Design Module Title: Full Chip Functional Verification Module Leader: Mr. Padmanaban K. M. S. Ramaiah School of Advanced Studies 1
  • 2. Contents • Introduction • ASIC design flow • Topographical synthesis • Design compiler graphical • Key benefits of Topographical synthesis • Congestion • Advanced Arithmetic Optimization • Register retiming • Conclusion • References M. S. Ramaiah School of Advanced Studies 2
  • 3. Introduction • Traditionally congestion is analyzed and fixed only during the last stage of design i.e. during P&R. • Today this method is inefficient, as designer may be required to iterate back to the RTL and recode the RTL source to remove congestion-causing design characteristics. • This iterative process between synthesis & layout is time consuming. • These options are not optimal and can lead to missed schedules, missed design goals and result in added costs. Figure 1. ASIC flow M. S. Ramaiah School of Advanced Studies 3
  • 4. ASIC design flow Specifications HDL Functional verification Synthesis STA Topographical synthesis DFT Timing Back end verification M. S. Ramaiah School of Advanced Studies 4
  • 5. Topographical synthesis • Synopsys incorporated topographical synthesis technology into DC in 2005 • Used to accurately predicts timing, area and power. • Ensures synthesis output correlates to actual layout. • Reduces the number of iterations required to close design goals eliminating the need for wire load models. • Early prediction of routing congestion and visualization of congestion hot spots and timing issues. • Allows RTL designers to fix design issues early, cutting time and improving scaling predictability. M. S. Ramaiah School of Advanced Studies 5
  • 6. Design Compiler Graphical • DC graphical provides the designer to preview layouts to decide on whether congestion is due to RTL structures or due to bad floor planning. • Includes Synopsys’ virtual global-routing technology that enables designers to predict wire-routing congestion during RTL synthesis. • Predicts congestion "hot spots" early in the design flow. • Provides visualization and analysis of the congested circuit regions. • Performs synthesis optimizations to minimize congestion in these areas. • Provides significant improvement in design time. M. S. Ramaiah School of Advanced Studies 6
  • 7. Key benefits of Topographical Technology (1/2) • Delivers best Quality of Results (QoR) in terms of area, timing, power and test Correlated to physical implementation. • Removes timing bottlenecks by creating fast critical paths. • Offers more flexibility for users to control optimization on specific areas of designs. • Distributed synthesis with automated chip synthesis. • Enables higher efficiency with integrated static timing analysis, test synthesis and power synthesis. • Support for multi voltage and multi supply. M. S. Ramaiah School of Advanced Studies 7
  • 8. Key benefits of Topographical Technology (2/2) • Designers fix real design issues while still in synthesis and generate a better start point for physical design, eliminating costly iterations. • Designed for RTL designers and requires no physical design expertise or changes to the synthesis use model. • Delivers accurate correlation to post-layout timing, area and power without the need for WLM. Figure 2. DC Ultra synthesis [1] M. S. Ramaiah School of Advanced Studies 8
  • 9. Congestion • Routing congestion occurs when the resources (tracks) needed to route a design exceed the available resources. • Generates a routing-friendly net list topology that minimizes highly-congested structures and wire crossings in congested areas. Congestion prediction Figure 3. DC graphical results [2] M. S. Ramaiah School of Advanced Studies 9
  • 10. Advanced Arithmetic Optimization • To minimize performance and area impact of carry propagation, arithmetic trees in the HDL are optimized using carry-save arithmetic techniques. Figure 4. Arithmetic optimization [2] M. S. Ramaiah School of Advanced Studies 10
  • 11. Powerful Critical Path Synthesis • Performs aggressive timing driven re-structuring, mapping and gate-level optimization. • Logic duplication for reducing the load seen by the critical path. • Buffer high fan out nets to improve total negative slack. Figure 5. Register duplication [1] M. S. Ramaiah School of Advanced Studies 11
  • 12. Register Retiming • Performs optimization of sequential logic by moving registers through logic boundaries to optimize timing with minimum area impact. • Inserts pipelines registers in pure combinational circuits in order to meet performance and area requirements. • Used along with datapath optimization algorithms. • All these are performed in order to improve QoR. Figure 6. Retiming designs with registers [1] Figure 7. Retiming on combinational logic [1] M. S. Ramaiah School of Advanced Studies 12
  • 13. Other Advantages • Better Control of Synthesis Cost-Function Priorities and Optimization Step It has a default cost function that prioritizes design rule requirements over timing and area constraints. • Infrastructure for Multicore Using an optimized scheme of distributed & multithreaded parallelization, which # of days delivers 2X improvement in runtime on quad-core platforms. • Supports all popular industry standards formats Circuit Netlist: Verilog, SystemVerilog & VHDL. Gate count Figure 8. Single core vs. Multi core runtimes [2] M. S. Ramaiah School of Advanced Studies 13
  • 14. Summary • Includes comprehensive optimization algorithms to deliver best-in-class quality of results. • The Topographical technology ensures results that correlate to layout, eliminating costly iterations between synthesis and physical implementation. • It remains to be the synthesis tool of choice with its advanced feature set and a proven track record of countless design successes. • It provides the ability to accurately predict, visualize and alleviate routing congestion, substantially reducing iterations between synthesis and physical implementation. M. S. Ramaiah School of Advanced Studies 14
  • 15. References [1] Synopsys Inc. , (2006) ‘Design Compiler Ultra’ [online] available from <http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/D ocuments/DCUltra-ds.pdf>Retrieved on 26th Feb 2012 [2] Synopsys Inc. ,(2011) ‘Design Compiler Graphical’ [online] available from < http://www.synopsys.com/tools/implementation/rtlsynthesis/dcgraphical/Pag es/default.aspx>Retrieved on 26th Feb 2012 M. S. Ramaiah School of Advanced Studies 15
  • 16. Thank You M. S. Ramaiah School of Advanced Studies 16
  • 17. Remarks Sl. No. Topic Max. marks Marks obtained 1 Quality of slides 5 2 Clarity of subject 5 3 Presentation 5 4 Effort and question handling 5 Total 20 M. S. Ramaiah School of Advanced Studies 17