SlideShare a Scribd company logo
1 of 56
Download to read offline
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
13 Years of Experience
Automated Services
24/7 Help Desk Support
Experience & Expertise Developers
Advanced Technologies & Tools
Legitimate Member of all Journals
Having 1,50,000 Successive records in
all Languages
More than 12 Branches in Tamilnadu,
Kerala & Karnataka.
Ticketing & Appointment Systems.
Individual Care for every Student.
Around 250 Developers & 20
Researchers
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
227-230 Church Road, Anna Nagar, Madurai – 625020.
0452-4390702, 4392702, + 91-9944793398.
info@elysiumtechnologies.com, elysiumtechnologies@gmail.com
S.P.Towers, No.81 Valluvar Kottam High Road, Nungambakkam,
Chennai - 600034. 044-42072702, +91-9600354638,
chennai@elysiumtechnologies.com
15, III Floor, SI Towers, Melapudur main Road, Trichy – 620001.
0431-4002234, + 91-9790464324.
trichy@elysiumtechnologies.com
577/4, DB Road, RS Puram, Opp to KFC, Coimbatore – 641002
0422- 4377758, +91-9677751577.
coimbatore@elysiumtechnologies.com
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
Plot No: 4, C Colony, P&T Extension, Perumal puram, Tirunelveli-
627007. 0462-2532104, +919677733255,
tirunelveli@elysiumtechnologies.com
1st Floor, A.R.IT Park, Rasi Color Scan Building, Ramanathapuram
- 623501. 04567-223225,
+919677704922.ramnad@elysiumtechnologies.com
74, 2nd floor, K.V.K Complex,Upstairs Krishna Sweets, Mettur
Road, Opp. Bus stand, Erode-638 011. 0424-4030055, +91-
9677748477 erode@elysiumtechnologies.com
No: 88, First Floor, S.V.Patel Salai, Pondicherry – 605 001. 0413–
4200640 +91-9677704822
pondy@elysiumtechnologies.com
TNHB A-Block, D.no.10, Opp: Hotel Ganesh Near Busstand. Salem
– 636007, 0427-4042220, +91-9894444716.
salem@elysiumtechnologies.com
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
ETPL
PDS-001
Adaptive Network Coding for Broadband Wireless Access Networks
Abstract: Broadband wireless access (BWA) networks, such as LTE and WiMAX, are inherently lossy
due to wireless medium unreliability. Although the Hybrid Automatic Repeat reQuest (HARQ) error-
control method recovers from packet loss, it has low transmission efficiency and is unsuitable for delay-
sensitive applications. Alternatively, network coding techniques improve the throughput of wireless
networks, but incur significant overhead and ignore network constraints such as Medium Access Control
(MAC) layer transmission opportunities and physical (PHY) layer channel conditions. The present study
provides analysis of Random Network Coding (RNC) and Systematic Network Coding (SNC) decoding
probabilities. Based on the analytical results, SNC is selected for developing an adaptive network coding
scheme designated as Frame-by-frame Adaptive Systematic Network Coding (FASNC). According to
network constraints per frame, FASNC dynamically utilizes either Modified Systematic Network Coding
(M-SNC) or Mixed Generation Coding (MGC). An analytical model is developed for evaluating the mean
decoding delay and mean goodput of the proposed FASNC scheme. The results derived using this model
agree with those obtained from computer simulations. Simulations show that FASNC results in both
lower decoding delay and reduced buffer requirements compared to MRNC and N-in-1 ReTX, while also
yielding higher goodput than HARQ, MRNC, and N-in-1 ReTX.
ETPL
PDS-002
Covering Points of Interest with Mobile Sensors
Abstract: The coverage of Points of Interest (PoI) is a classical requirement in mobile wireless sensor
applications. Optimizing the sensors self-deployment over a PoI while maintaining the connectivity
between the sensors and the base station is thus a fundamental issue. This paper addresses the problem of
autonomous deployment of mobile sensors that need to cover a predefined PoI with a connectivity
constraint. In our algorithm, each sensor moves toward a PoI but has also to maintain the connectivity
with a subset of its neighboring sensors that are part of the Relative Neighborhood Graph (RNG). The
Relative Neighborhood Graph reduction is chosen so that global connectivity can be provided locally.
Our deployment scheme minimizes the number of sensors used for connectivity thus increasing the
number of monitoring sensors. Analytical results, simulation results and practical implementation are
provided to show the efficiency of our algorithm.
ETPL
PDS-003
Detection and Localization of Multiple Spoofing Attackers in Wireless Networks
Abstract: Wireless spoofing attacks are easy to launch and can significantly impact the performance of
networks. Although the identity of a node can be verified through cryptographic authentication,
conventional security approaches are not always desirable because of their overhead requirements. In this
paper, we propose to use spatial information, a physical property associated with each node, hard to
falsify, and not reliant on cryptography, as the basis for 1) detecting spoofing attacks; 2) determining the
number of attackers when multiple adversaries masquerading as the same node identity; and 3) localizing
multiple adversaries. We propose to use the spatial correlation of received signal strength (RSS) inherited
from wireless nodes to detect the spoofing attacks. We then formulate the problem of determining the
number of attackers as a multiclass detection problem. Cluster-based mechanisms are developed to
determine the number of attackers. When the training data are available, we explore using the Support
Vector Machines (SVM) method to further improve the accuracy of determining the number of attackers.
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
In addition, we developed an integrated detection and localization system that can localize the positions of
multiple attackers. We evaluated our techniques through two testbeds using both an 802.11 (WiFi)
network and an 802.15.4 (ZigBee) network in two real office buildings. Our experimental results show
that our proposed methods can achieve over 90 percent Hit Rate and Precision when determining the
number of attackers. Our localization results using a representative set of algorithms provide strong
evidence of high accuracy of localizing multiple adversaries.
ETPL
PDS-004
Efficient Eager Management of Conflicts for Scalable Hardware Transactional
Memory
Abstract: The efficient management of conflicts among concurrent transactions constitutes a key aspect
that hardware transactional memory (HTM) systems must achieve. Scalable HTM proposals so far inherit
the cache-based style of conflict detection typically found in bus-based systems, largely unaware of the
interactions between transactions and directory coherence. In this paper, we demonstrate that the
traditional approach of detecting conflicts at the private cache levels is inefficient when used in the
context of a directory protocol. We find that the use of the directory as a mere router of coherence
requests restricts the throughput of conflict detection, and show how it becomes a bottleneck under high
contention. This paper proposes a scheme for conflict detection that decouples conflict detection from
cache coherence in order to overcome pathological situations that degrade the performance of an eager
HTM system. Our scheme places bookkeeping metadata at the directory, introducing it as a separate
hardware module that leaves the coherence protocol unmodified. In comparison to a state-of-the-art eager
HTM system, our design handles contention more efficiently, minimizes the performance degradation of
false positives for signatures of similar hardware cost, and reduces the network traffic generated.
ETPL
PDS-005
High Performance Resource Allocation Strategies for Computational Economies
Abstract: Utility computing models have long been the focus of academic research, and with the recent
success of commercial cloud providers, computation and storage is finally being realized as the fifth
utility. Computational economies are often proposed as an efficient means of resource allocation,
however adoption has been limited due to a lack of performance and high overheads. In this paper, we
address the performance limitations of existing economic allocation models by defining strategies to
reduce the failure and reallocation rate, increase occupancy and thereby increase the obtainable utilization
of the system. The high-performance resource utilization strategies presented can be used by market
participants without requiring dramatic changes to the allocation protocol. The strategies considered
include overbooking, advanced reservation, just-in-time bidding, and using substitute providers for
service delivery. The proposed strategies have been implemented in a distributed metascheduler and
evaluated with respect to Grid and cloud deployments. Several diverse synthetic workloads have been
used to quantity both the performance benefits and economic implications of these strategies.
ETPL
PDS-006
Mapping a Jacobi Iterative Solver onto a High-Performance Heterogeneous Computer
Abstract: High-performance heterogeneous computers that employ field programmable gate arrays
(FPGAs) as computational elements are known as high-performance reconfigurable computers (HPRCs).
For floating-point applications, these FPGA-based processors must satisfy a variety of heuristics and rules
of thumb to achieve a speedup compared with their software counterparts. By way of a simple sparse
matrix Jacobi iterative solver, this paper illustrates some of the issues associated with mapping floating-
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
point kernels onto HPRCs. The Jacobi method was chosen based on heuristics developed from earlier
research. Furthermore, Jacobi is relatively easy to understand, yet is complex enough to illustrate the
mapping issues. This paper is not trying to demonstrate the speedup of a particular application nor is it
suggesting that Jacobi is the best way to solve equations. The results demonstrate a nearly threefold wall
clock runtime speedup when compared with a software implementation. A formal analysis shows that
these results are reasonable. The purpose of this paper is to illuminate the challenging floating-point
mapping process while simultaneously showing that such mappings can result in significant speedups.
The ideas revealed by research such as this have already been and should continue to be used to facilitate
a more automated mapping process.
ETPL
PDS-007
MIN-MAX: A Counter-Based Algorithm for Regular Expression Matching
Abstract: We propose an NFA-based algorithm called MIN-MAX to support matching of regular
expressions (regexp) composed of Character Classes with Constraint Repetitions (CCR). MIN-MAX is
well suited for massive parallel processing architectures, such as FPGAs, yet it is effective on any other
computing platform. In MIN-MAX, each active CCR engine (to implement one CCR term) evaluates
input characters, updates (MIN, MAX) counters, and asserts control signals, and all the CCR engines
implemented in the FPGA run simultaneously. Unlike traditional designs, (MIN, MAX) counters contain
dynamically updated lower and upper bounds of possible matching counts, instead of actual matching
counts, so that feasible matching lengths are compactly enclosed in the counter value. The counter-based
design can support constraint repetitions of n using O({rm log} n) memory bits rather than that of O(n) in
existing solutions. MIN-MAX can resolve character class ambiguity between adjacent CCR terms and
support overlapped matching when matching collisions are absent. We developed a set of heuristic rules
to assess the absence of collision for CCR-based regexps, and tested them on Snort and SpamAssassin
rule sets. The results show that the vast majority of rules are immune from collisions, so that MIN-MAX
can cost effectively support overlapped matching. As a bonus, the new architecture also supports fast
reconfiguration via ordinary memory writes rather than resynthesis of the entire design, which is critical
for time-sensitive regexp deployment scenarios.
ETPL
PDS-008
Network Traffic Classification Using Correlation Information
Abstract: Traffic classification has wide applications in network management, from security monitoring
to quality of service measurements. Recent research tends to apply machine learning techniques to flow
statistical feature based classification methods. The nearest neighbor (NN)-based method has exhibited
superior classification performance. It also has several important advantages, such as no requirements of
training procedure, no risk of overfitting of parameters, and naturally being able to handle a huge number
of classes. However, the performance of NN classifier can be severely affected if the size of training data
is small. In this paper, we propose a novel nonparametric approach for traffic classification, which can
improve the classification performance effectively by incorporating correlated information into the
classification process. We analyze the new classification approach and its performance benefit from both
theoretical and empirical perspectives. A large number of experiments are carried out on two real-world
traffic data sets to validate the proposed approach. The results show the traffic classification performance
can be improved significantly even under the extreme difficult circumstance of very few training samples.
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
ETPL
PDS-009
Online Real-Time Task Scheduling in Heterogeneous Multicore System-on-a-Chip
Abstract: Online task scheduling in heterogeneous multicore system-on-a-chip is a challenging problem
due to precedence constraints and nonpreemptive task execution in the synergistic processor core. This
study first proposes an online heterogeneous dual-core scheduling framework for dynamic workloads
with real-time constraints. The general purpose processor core and the synergistic processor core are
dedicated to separate schedulers with different scheduling policies, and precedence constraints among
tasks are dealt with through interaction between the two schedulers. This framework is also configurable
for low priority inversion and high system utilization. We then extend this framework to heterogeneous
multicore systems with well-known dispatcher schemas. This paper presents a real case study to show the
practicability of the proposed methodology, and presents a series of extensive simulations to obtain
comparison studies using different workloads and scheduling algorithms.
ETPL
PDS-010
Scalable and Secure Sharing of Personal Health Records in Cloud Computing Using
Attribute-Based Encryption,
Abstract: Personal health record (PHR) is an emerging patient-centric model of health information
exchange, which is often outsourced to be stored at a third party, such as cloud providers. However, there
have been wide privacy concerns as personal health information could be exposed to those third party
servers and to unauthorized parties. To assure the patients' control over access to their own PHRs, it is a
promising method to encrypt the PHRs before outsourcing. Yet, issues such as risks of privacy exposure,
scalability in key management, flexible access, and efficient user revocation, have remained the most
important challenges toward achieving fine-grained, cryptographically enforced data access control. In
this paper, we propose a novel patient-centric framework and a suite of mechanisms for data access
control to PHRs stored in semitrusted servers. To achieve fine-grained and scalable data access control for
PHRs, we leverage attribute-based encryption (ABE) techniques to encrypt each patient's PHR file.
Different from previous works in secure data outsourcing, we focus on the multiple data owner scenario,
and divide the users in the PHR system into multiple security domains that greatly reduces the key
management complexity for owners and users. A high degree of patient privacy is guaranteed
simultaneously by exploiting multiauthority ABE. Our scheme also enables dynamic modification of
access policies or file attributes, supports efficient on-demand user/attribute revocation and break-glass
access under emergency scenarios. Extensive analytical and experimental results are presented which
show the security, scalability, and efficiency of our proposed scheme.
ETPL
PDS-011
Strategies for Energy-Efficient Resource Management of Hybrid Programming Models
Abstract: Many scientific applications are programmed using hybrid programming models that use both
message passing and shared memory, due to the increasing prevalence of large-scale systems with
multicore, multisocket nodes. Previous work has shown that energy efficiency can be improved using
software-controlled execution schemes that consider both the programming model and the power-aware
execution capabilities of the system. However, such approaches have focused on identifying optimal
resource utilization for one programming model, either shared memory or message passing, in isolation.
The potential solution space, thus the challenge, increases substantially when optimizing hybrid models
since the possible resource configurations increase exponentially. Nonetheless, with the accelerating
adoption of hybrid programming models, we increasingly need improved energy efficiency in hybrid
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
parallel applications on large-scale systems. In this work, we present new software-controlled execution
schemes that consider the effects of dynamic concurrency throttling (DCT) and dynamic voltage and
frequency scaling (DVFS) in the context of hybrid programming models. Specifically, we present
predictive models and novel algorithms based on statistical analysis that anticipate application power and
time requirements under different concurrency and frequency configurations. We apply our models and
methods to the NPB MZ benchmarks and selected applications from the ASC Sequoia codes. Overall, we
achieve substantial energy savings (8.74 percent on average and up to 13.8 percent) with some
performance gain (up to 7.5 percent) or negligible performance loss.
ETPL
PDS-012
Supporting HPC Analytics Applications with Access Patterns Using Data
Restructuring and Data-Centric Scheduling Techniques in MapReduce
Abstract: Current High Performance Computing (HPC) applications have seen an explosive growth in the
size of data in recent years. Many application scientists have initiated efforts to integrate data-intensive
computing into computational-intensive HPC facilities, particularly for data analytics. We have observed
several scientific applications which must migrate their data from an HPC storage system to a data-
intensive one for analytics. There is a gap between the data semantics of HPC storage and data-intensive
system, hence, once migrated, the data must be further refined and reorganized. This reorganization must
be performed before existing data-intensive tools such as MapReduce can be used to analyze data. This
reorganization requires at least two complete scans through the data set and then at least one MapReduce
program to prepare the data before analyzing it. Running multiple MapReduce phases causes significant
overhead for the application, in the form of excessive I/O operations. That is for every MapReduce phase,
a distributed read and write operation on the file system must be performed. Our contribution is to
develop a MapReduce-based framework for HPC analytics to eliminate the multiple scans and also reduce
the number of data preprocessing MapReduce programs. We also implement a data-centric scheduler to
further improve the performance of HPC analytics MapReduce programs by maintaining the data locality.
We have added additional expressiveness to the MapReduce language to allow application scientists to
specify the logical semantics of their data such that 1) the data can be analyzed without running multiple
data preprocessing MapReduce programs, and 2) the data can be simultaneously reorganized as it is
migrated to the data-intensive file system. Using our augmented Map-Reduce system, MapReduce with
Access Patterns (MRAP), we have demonstrated up to 33 percent throughput improvement in one real
application, and up to 70 percent in an I/O kernel of another appl- cation. Our results for scheduling show
up to 49 percent improvement for an I/O kernel of a prevalent HPC analysis application.
ETPL
PDS-013
Thermal and Energy Management of High-Performance Multicores: Distributed and
Self-Calibrating Model-Predictive Controller
Abstract: As result of technology scaling, single-chip multicore power density increases and its spatial
and temporal workload variation leads to temperature hot-spots, which may cause nonuniform ageing and
accelerated chip failure. These critical issues can be tackled by closed-loop thermal and reliability
management policies. Model predictive controllers (MPC) outperform classic feedback controllers since
they are capable of minimizing performance loss while enforcing safe working temperature.
Unfortunately, MPC controllers rely on a priori knowledge of thermal models and their complexity
exponentially grows with the number of controlled cores. In this paper, we present a scalable, fully
distributed, energy-aware thermal management solution for single-chip multicore platforms. The model-
predictive controller complexity is drastically reduced by splitting it in a set of simpler interacting
controllers, each one allocated to a core in the system. Locally, each node selects the optimal frequency to
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
meet temperature constraints while minimizing the performance penalty and system energy. Comparable
performance with state-of-the-art MPC controllers is achieved by letting controllers exchange a limited
amount of information at runtime on a neighborhood basis. In addition, we address model uncertainty by
supporting learning of the thermal model with a novel distributed self-calibration approach that matches
well the controller architecture.
ETPL
PDS-014
Topology Abstraction Service for IP-VPNs
Abstract: VPN service providers (VSP) and IP-VPN customers have traditionally maintained service
demarcation boundaries between their routing and signaling entities. This has resulted in the VPNs
viewing the VSP network as an opaque entity and therefore limiting any meaningful interaction between
the VSP and the VPNs. The purpose of this research is to address this issue by enabling a VSP to share its
core topology information with the VPNs through a novel topology abstraction (TA) service which is
both practical and scalable in the context of managed IP-VPNs. TA service provides tunable visibility of
state of the VSP's network leading to better VPN performance. A key challenge of the TA service is to
generate TA with relevant network resource information for each VPN in an accurate and fair manner.
We develop three decentralized schemes for generating TAs with different performance characteristics.
These decentralized schemes achieve improved call performance, fair resource sharing for VPNs, and
higher network utilization for the VSP. We validate the idea of the VPN TA service and study the
performance of the proposed techniques using various simulation scenarios over several topologies.
ETPL
PDS-015
A Secure Payment Scheme with Low Communication and Processing Overhead for
Multihop Wireless Networks
Abstract: We propose RACE, a report-based payment scheme for multihop wireless networks to stimulate
node cooperation, regulate packet transmission, and enforce fairness. The nodes submit lightweight
payment reports (instead of receipts) to the accounting center (AC) and temporarily store undeniable
security tokens called Evidences. The reports contain the alleged charges and rewards without security
proofs, e.g., signatures. The AC can verify the payment by investigating the consistency of the reports,
and clear the payment of the fair reports with almost no processing overhead or cryptographic operations.
For cheating reports, the Evidences are requested to identify and evict the cheating nodes that submit
incorrect reports. Instead of requesting the Evidences from all the nodes participating in the cheating
reports, RACE can identify the cheating nodes with requesting few Evidences. Moreover, Evidence
aggregation technique is used to reduce the Evidences' storage area. Our analytical and simulation results
demonstrate that RACE requires much less communication and processing overhead than the existing
receipt-based schemes with acceptable payment clearance delay and storage area. This is essential for the
effective implementation of a payment scheme because it uses micropayment and the overhead cost
should be much less than the payment value. Moreover, RACE can secure the payment and precisely
identify the cheating nodes without false accusations.
ETPL
PDS-016
Analysis of Distance-Based Location Management in Wireless Communication
Networks
Abstract: The performance of dynamic distance-based location management schemes (DBLMS) in
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
wireless communication networks is analyzed. A Markov chain is developed as a mobility model to
describe the movement of a mobile terminal in 2D cellular structures. The paging area residence time is
characterized for arbitrary cell residence time by using the Markov chain. The expected number of paging
area boundary crossings and the cost of the distance-based location update method are analyzed by using
the classical renewal theory for two different call handling models. For the call plus location update
model, two cases are considered. In the first case, the intercall time has an arbitrary distribution and the
cell residence time has an exponential distribution. In the second case, the intercall time has a hyper-
Erlang distribution and the cell residence time has an arbitrary distribution. For the call without location
update model, both intercall time and cell residence time can have arbitrary distributions. Our analysis
makes it possible to find the optimal distance threshold that minimizes the total cost of location
management in a DBLMS.
ETPL
PDS-017
Cluster-Based Certificate Revocation with Vindication Capability for Mobile Ad Hoc
Networks,
Abstract: Mobile ad hoc networks (MANETs) have attracted much attention due to their mobility and
ease of deployment. However, the wireless and dynamic natures render them more vulnerable to various
types of security attacks than the wired networks. The major challenge is to guarantee secure network
services. To meet this challenge, certificate revocation is an important integral component to secure
network communications. In this paper, we focus on the issue of certificate revocation to isolate attackers
from further participating in network activities. For quick and accurate certificate revocation, we propose
the Cluster-based Certificate Revocation with Vindication Capability (CCRVC) scheme. In particular, to
improve the reliability of the scheme, we recover the warned nodes to take part in the certificate
revocation process; to enhance the accuracy, we propose the threshold-based mechanism to assess and
vindicate warned nodes as legitimate nodes or not, before recovering them. The performances of our
scheme are evaluated by both numerical and simulation analysis. Extensive results demonstrate that the
proposed certificate revocation scheme is effective and efficient to guarantee secure communications in
mobile ad hoc networks.
ETPL
PDS-018
Coloring-Based Inter-WBAN Scheduling for Mobile Wireless Body Area Networks
Abstract: In this study, random incomplete coloring (RIC) with low time-complexity and high spatial
reuse is proposed to overcome in-between wireless-body-area-networks (WBAN) interference, which can
cause serious throughput degradation and energy waste. Interference-avoidance scheduling of wireless
networks can be modeled as a problem of graph coloring. For instance, high spatial-reuse scheduling for a
dense sensor network is mapped to high spatial-reuse coloring; fast convergence scheduling for a mobile
ad hoc network (MANET) is mapped to low time-complexity coloring. However, for a dense and mobile
WBAN, inter-WBAN scheduling (IWS) should simultaneously satisfy both of the following
requirements: 1) high spatial-reuse and 2) fast convergence, which are tradeoffs in conventional coloring.
By relaxing the coloring rule, the proposed distributed coloring algorithm RIC avoids this tradeoff and
satisfies both requirements. Simulation results verify that the proposed coloring algorithm effectively
overcomes inter-WBAN interference and invariably supports higher system throughput in various mobile
WBAN scenarios compared to conventional colorings.
ETPL
PDS-019
Cross-Layer Design of Congestion Control and Power Control in Fast-Fading Wireless
Networks
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
Abstract: We study the cross-layer design of congestion control and power allocation with outage
constraint in an interference-limited multihop wireless networks. Using a complete-convexification
method, we first propose a message-passing distributed algorithm that can attain the global optimal source
rate and link power allocation. Despite the attractiveness of its optimality, this algorithm requires larger
message size than that of the conventional scheme, which increases network overheads. Using the bounds
on outage probability, we map the outage constraint to an SIR constraint and continue developing a
practical near-optimal distributed algorithm requiring only local SIR measurement at link receivers to
limit the size of the message. Due to the complicated complete-convexification method, however the
congestion control of both algorithms no longer preserves the existing TCP stack. To take into account
the TCP stack preserving property, we propose the third algorithm using a successive convex
approximation method to iteratively transform the original nonconvex problem into approximated convex
problems, then the global optimal solution can converge distributively with message-passing. Thanks to
the tightness of the bounds and successive approximations, numerical results show that the gap between
three algorithms is almost indistinguishable. Despite the same type of the complete-convexification
method, the numerical comparison shows that the second near-optimal scheme has a faster convergence
rate than that of the first optimal one, which make the near-optimal scheme more favorable and applicable
in practice. Meanwhile, the third optimal scheme also has a faster convergence rate than that of a previous
work using logarithm successive approximation method.
ETPL
PDS-020
Distributed Data Replenishment
Abstract: We propose a distributed data replenishment mechanism for some distributed peer-to-peer-
based storage systems that automates the process of maintaining a sufficient level of data redundancy to
ensure the availability of data in presence of peer departures and failures. The dynamics of peers entering
and leaving the network are modeled as a stochastic process. A novel analytical time-backward technique
is proposed to bound the expected time for a piece of data to remain in P2P systems. Both theoretical and
simulation results are in agreement, indicating that the data replenishment via random linear network
coding (RLNC) outperforms other popular strategies. Specifically, we show that the expected time for a
piece of data to remain in a P2P system, the longer the better, is exponential in the number of peers used
to store the data for the RLNC-based strategy, while they are quadratic for other strategies.
ETPL
PDS-021
Distributed k-Core Decomposition
Abstract: Several novel metrics have been proposed in recent literature in order to study the relative
importance of nodes in complex networks. Among those, k-coreness has found a number of applications
in areas as diverse as sociology, proteinomics, graph visualization, and distributed system analysis and
design. This paper proposes new distributed algorithms for the computation of the k-coreness of a
network, a process also known as k-core decomposition. This technique 1) allows the decomposition,
over a set of connected machines, of very large graphs, when size does not allow storing and processing
them on a single host, and 2) enables the runtime computation of k-cores in “live” distributed systems.
Lower bounds on the algorithms complexity are given, and an exhaustive experimental analysis on real-
world data sets is provided.
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
ETPL
PDS-022
Dynamic Coverage of Mobile Sensor Networks
Abstract: We study the dynamic aspects of the coverage of a mobile sensor network resulting from
continuous movement of sensors. As sensors move around, initially uncovered locations may be covered
at a later time, and intruders that might never be detected in a stationary sensor network can now be
detected by moving sensors. However, this improvement in coverage is achieved at the cost that a
location is covered only part of the time, alternating between covered and not covered. We characterize
area coverage at specific time instants and during time intervals, as well as the time durations that a
location is covered and uncovered. We further consider the time it takes to detect a randomly located
intruder and prove that the detection time is exponentially distributed with parameter 2λrv̅ s where λ
represents the sensor density , r represents the sensor 's sensing range , and v̅ s denotes the average sensor
speed. For mobile intruders, we take a game theoretic approach and derive optimal mobility strategies for
both sensors and intruders. We prove that the optimal sensor strategy is to choose their directions
uniformly at random between (0, 2π). The optimal intruder strategy is to remain stationary. This solution
represents a mixed strategy which is a Nash equilibrium of the zero-sum game between mobile sensors
and intruders.
ETPL
PDS-023
Exploiting Ubiquitous Data Collection for Mobile Users in Wireless Sensor Networks
Abstract: We study the ubiquitous data collection for mobile users in wireless sensor networks. People
with handheld devices can easily interact with the network and collect data. We propose a novel approach
for mobile users to collect the network-wide data. The routing structure of data collection is additively
updated with the movement of the mobile user. With this approach, we only perform a limited
modification to update the routing structure while the routing performance is bounded and controlled
compared to the optimal performance. The proposed protocol is easy to implement. Our analysis shows
that the proposed approach is scalable in maintenance overheads, performs efficiently in the routing
performance, and provides continuous data delivery during the user movement. We implement the
proposed protocol in a prototype system and test its feasibility and applicability by a 49-node testbed. We
further conduct extensive simulations to examine the efficiency and scalability of our protocol with varied
network settings.
ETPL
PDS-024
Fast Channel Zapping with Destination-Oriented Multicast for IP Video Delivery
Abstract: Channel zapping time is a critical quality of experience (QoE) metric for IP-based video
delivery systems such as IPTV. An interesting zapping acceleration scheme based on time-shifted
subchannels (TSS) was recently proposed, which can ensure a zapping delay bound as well as maintain
the picture quality during zapping. However, the behaviors of the TSS-based scheme have not been fully
studied yet. Furthermore, the existing TSS-based implementation adopts the traditional IP multicast,
which is not scalable for a large-scale distributed system. Corresponding to such issues, this paper makes
contributions in two aspects. First, we resort to theoretical analysis to understand the fundamental
properties of the TSS-based service model. We show that there exists an optimal subchannel data rate
which minimizes the redundant traffic transmitted over subchannels. Moreover, we reveal a start-up
effect, where the existing operation pattern in the TSS-based model could violate the zapping delay
bound. With a solution proposed to resolve the start-up effect, we rigorously prove that a zapping delay
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
bound equal to the subchannel time shift is guaranteed by the updated TSS-based model. Second, we
propose a destination-oriented-multicast (DOM) assisted zapping acceleration (DAZA) scheme for a
scalable TSS-based implementation, where a subscriber can seamlessly migrate from a subchannel to the
main channel after zapping without any control message exchange over the network. Moreover, the
subchannel selection in DAZA is independent of the zapping request signaling delay, resulting in
improved robustness and reduced messaging overhead in a distributed environment. We implement
DAZA in ns-2 and multicast an MPEG-4 video stream over a practical network topology. Extensive
simulation results are presented to demonstrate the validity of our analysis and DAZA scheme.
ETPL
PDS-025
Gaussian versus Uniform Distribution for Intrusion Detection in Wireless Sensor
Networks
Abstract: In a Wireless Sensor Network (WSN), intrusion detection is of significant importance in many
applications in detecting malicious or unexpected intruder(s). The intruder can be an enemy in a
battlefield, or a malicious moving object in the area of interest. With uniform sensor deployment, the
detection probability is the same for any point in a WSN. However, some applications may require
different degrees of detection probability at different locations. For example, an intrusion detection
application may need improved detection probability around important entities. Gaussian-distributed
WSNs can provide differentiated detection capabilities at different locations but related work is limited.
This paper analyzes the problem of intrusion detection in a Gaussian-distributed WSN by characterizing
the detection probability with respect to the application requirements and the network parameters under
both single-sensing detection and multiple-sensing detection scenarios. Effects of different network
parameters on the detection probability are examined in detail. Furthermore, performance of Gaussian-
distributed WSNs is compared with uniformly distributed WSNs. This work allows us to analytically
formulate detection probability in a random WSN and provides guidelines in selecting an appropriate
deployment strategy and determining critical network parameters.
ETPL
PDS-026
IDM: An Indirect Dissemination Mechanism for Spatial Voice Interaction in
Networked Virtual Environments
Abstract: One type of Peer-to-Peer (P2P) live streaming has not yet been significantly investigated,
namely topologies that provide many-to-many, interactive connectivity. Exemplar applications of such
P2P systems include spatial audio services for networked virtual environments (NVEs) and distributed
online games. Numerous challenging problems have to be overcome-among them providing low delay,
resilience to churn, effective load balancing, and rapid convergence-in such dynamic environments. We
propose a novel P2P overlay dissemination mechanism, termed IDM, that can satisfy such demanding
real-time requirements. Our target application is to provide spatialized voice support in multiplayer
NVEs, where each bandwidth constrained peer potentially communicates with all other peers within its
area-of-interest (AoI). With IDM each peer maintains a set of partners, termed helpers, which may act as
stream forwarders. We prove analytically that the system reachability is maximized when the loads of
helpers are balanced proportionally to their network capacities. We then propose a game-theoretic
algorithm that balances the loads of the peers in a fully distributed manner. Of practical importance in
dynamic systems, we prove that our algorithm converges to an approximately balanced state from any
prior state in rapid O(log log n) time, where n is the number of users. We further evaluate our technique
with simulations and show that it can achieve near optimal system reachability and satisfy the tight
latency constraints of interactive audio under conditions of churn, avatar mobility, and heterogeneous user
access network bandwidth.
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
ETPL
PDS-027
In-Network Estimation with Delay Constraints in Wireless Sensor Networks
Abstract: The use of wireless sensor networks (WSNs) for closing the loops between the cyberspace and
the physical processes is more attractive and promising for future control systems. For some real-time
control applications, controllers need to accurately estimate the process state within rigid delay
constraints. In this paper, we propose a novel in-network estimation approach for state estimation with
delay constraints in multihop WSNs. For accurately estimating a process state as well as satisfying rigid
delay constraints, we address the problem through jointly designing in-network estimation operations and
an aggregation scheduling algorithm. Our in-network estimation operation performed at relays not only
optimally fuses the estimates obtained from the different sensors but also predicts the upper stream
sensors' estimates which cannot be aggregated to the sink before deadlines. Our estimate aggregation
scheduling algorithm, which is interference free, is able to aggregate as much estimate information as
possible from the network to the sink within delay constraints. We proved the unbiasedness of in-network
estimation, and theoretically analyzed the optimality of our approach. Our simulation results corroborate
our theoretical results and show that our in-network estimation approach can obtain significant estimation
accuracy gain under different network settings.
ETPL
PDS-028
IP-Geolocation Mapping for Moderately Connected Internet Regions
Abstract: Most IP-geolocation mapping schemes [14], [16], [17], [18] take delay-measurement approach,
based on the assumption of a strong correlation between networking delay and geographical distance
between the targeted client and the landmarks. In this paper, however, we investigate a large region of
moderately connected Internet and find the delay-distance correlation is weak. But we discover a more
probable rule - with high probability the shortest delay comes from the closest distance. Based on this
closest-shortest rule, we develop a simple and novel IP-geolocation mapping scheme for moderately
connected Internet regions, called GeoGet. In GeoGet, we take a large number of webservers as passive
landmarks and map a targeted client to the geolocation of the landmark that has the shortest delay. We
further use JavaScript at targeted clients to generate HTTP/Get probing for delay measurement. To
control the measurement cost, we adopt a multistep probing method to refine the geolocation of a targeted
client, finally to city level. The evaluation results show that when probing about 100 landmarks, GeoGet
correctly maps 35.4 percent clients to city level, which outperforms current schemes such as GeoLim [16]
and GeoPing [14] by 270 and 239 percent, respectively, and the median error distance in GeoGet is
around 120 km, outperforming GeoLim and GeoPing by 37 and 70 percent, respectively.
ETPL
PDS-029
Microarchitecture of a Coarse-Grain Out-of-Order Superscalar Processor
Abstract: We explore the design, implementation, and evaluation of a coarse-grain superscalar processor
in the context of the microarchitecture of the Control Processor (CP) of the Multilevel Computing
Architecture (MLCA), a novel architecture targeted for multimedia multicore systems. The MLCA
augments a traditional multicore architecture (called the lower level) with a CP (called the top-level),
which automatically extracts parallelism among coarse-grain units of computation (tasks), synchronizes
these tasks and schedules them for execution on processors. It does so in a fashion similar to how
instruction-level parallelism is extracted by superscalar processors, i.e., using register renaming, Out-of-
Order Execution (OoOE) and scheduling. The coarse-grain nature of tasks imposes challenging
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
constraints on the direct use of these techniques, but also offers opportunities for simpler designs. We
analyze the impact of these constraints and opportunities and present novel microarchitectural
mechanisms for coarse-grain superscalar execution, including register renaming, task queue, dynamic out-
of-order scheduling and task-issue. We design an MLCA system around our CP microarchitecture and
implement it on an FPGA. We evaluate the system using multimedia applications and show good
scalability for eight processors, limited by the memory bandwidth of the FPGA platform. Furthermore,
we show that the CP introduces little overhead in terms of resource usage. Finally, we show scalability
beyond eight processors using cycle-accurate RTL-level simulation with an idealized memory subsystem.
We demonstrate that the CP poses no performance bottlenecks and is scalable up to 32 processors.
ETPL
PDS-030
Mobi-Sync: Efficient Time Synchronization for Mobile Underwater Sensor Networks
Abstract: Time synchronization is an important requirement for many services provided by distributed
networks. A lot of time synchronization protocols have been proposed for terrestrial Wireless Sensor
Networks (WSNs). However, none of them can be directly applied to Underwater Sensor Networks
(UWSNs). A synchronization algorithm for UWSNs must consider additional factors such as long
propagation delays from the use of acoustic communication and sensor node mobility. These unique
challenges make the accuracy of synchronization procedures for UWSNs even more critical. Time
synchronization solutions specifically designed for UWSNs are needed to satisfy these new requirements.
This paper proposes Mobi-Sync, a novel time synchronization scheme for mobile underwater sensor
networks. Mobi-Sync distinguishes itself from previous approaches for terrestrial WSN by considering
spatial correlation among the mobility patterns of neighboring UWSNs nodes. This enables Mobi-Sync to
accurately estimate the long dynamic propagation delays. Simulation results show that Mobi-Sync
outperforms existing schemes in both accuracy and energy efficiency.
ETPL
PDS-031
Autogeneration and Autotuning of 3D Stencil Codes on Homogeneous and
Heterogeneous GPU Clusters
Abstract: This paper develops and evaluates search and optimization techniques for autotuning 3D stencil
(nearest neighbor) computations on GPUs. Observations indicate that parameter tuning is necessary for
heterogeneous GPUs to achieve optimal performance with respect to a search space. Our proposed
framework takes a most concise specification of stencil behavior from the user as a single formula,
autogenerates tunable code from it, systematically searches for the best configuration and generates the
code with optimal parameter configurations for different GPUs. This autotuning approach guarantees
adaptive performance for different generations of GPUs while greatly enhancing programmer
productivity. Experimental results show that the delivered floating point performance is very close to
previous handcrafted work and outperforms other autotuned stencil codes by a large margin. Furthermore,
heterogeneous GPU clusters are shown to exhibit the highest performance for dissimilar tuning
parameters leveraging proportional partitioning relative to single-GPU performance.
ETPL
PDS-032
An Iterative Divide-and-Merge-Based Approach for Solving Large-Scale Least Squares
Problems
Abstract: Singular value decomposition (SVD) is a popular decomposition method for solving least
squares estimation (LSE) problems. However, for large data sets, applying SVD directly on the
coefficient matrix is very time consuming and memory demanding in obtaining least squares solutions. In
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
this paper, we propose an iterative divide-and-merge-based estimator for solving large-scale LSE
problems. Iteratively, the LSE problem to be solved is processed and transformed to equivalent but
smaller LSE problems. In each iteration, the input matrices are subdivided into a set of small submatrices.
The submatrices are decomposed by SVD, respectively, and the results are merged, and the resulting
matrices become the input of the next iteration. The process is iterated until the resulting matrices are
small enough which can then be solved directly and efficiently by SVD. The number of iterations
required is determined dynamically according to the size of the input data set. As a result, the
requirements in time and space for finding least squares solutions are greatly improved. Furthermore, the
decomposition and merging of the submatrices in each iteration can be independently done in parallel.
The idea can be easily implemented in MapReduce and experimental results show that the proposed
approach can solve large-scale LSE problems effectively.
ETPL
PDS-033
Buffer Management for Aggregated Streaming Data with Packet Dependencies
Abstract: In many applications, the traffic traversing the network has interpacket dependencies due to
application-level encoding schemes. For some applications, e.g., multimedia streaming, dropping a single
packet may render useless the delivery of a whole sequence. In such environments, the algorithm used to
decide which packet to drop in case of buffer overflows must be carefully designed, to avoid goodput
degradation. We present a model that captures such interpacket dependencies, and design algorithms for
performing packet discard. Traffic consists of an aggregation of multiple streams, each of which consists
of a sequence of interdependent packets. We provide two guidelines for designing buffer management
algorithms, and demonstrate their effectiveness. We devise an algorithm according to these guidelines and
evaluate its performance analytically, using competitive analysis. We also perform a simulation study that
shows that the performance of our algorithm is within a small fraction of the performance of the best
known offline algorithm.
ETPL
PDS-034
Design and Performance Evaluation of Overhearing-Aided Data Caching in Wireless
Ad Hoc Networks
Abstract: Wireless ad hoc network is a promising networking technology to provide users with Internet
access anywhere anytime. To cope with resource constraints of wireless ad hoc networks, data caching is
widely used to efficiently reduce data access cost. In this paper, we propose an efficient data caching
algorithm which makes use of the overhearing property of wireless communication to improve caching
performance. Due to the broadcast nature of wireless links, a packet can be overheard by a node within
the transmission range of the transmitter, even if the node is not the intended target. Our proposed
algorithm explores the overheard information, including data request and data reply, to optimize cache
placement and cache discovery. To the best of our knowledge, this is the first work that considers the
overhearing property of wireless communications in data caching. The simulation results show that,
compared with one representative algorithm and a naive overhearing algorithm, our proposed algorithm
can significantly reduce both message cost and access delay.
ETPL
PDS-035
Dynamic Optimization of Multiattribute Resource Allocation in Self-Organizing
Clouds
Abstract: By leveraging virtual machine (VM) technology which provides performance and fault
isolation, cloud resources can be provisioned on demand in a fine grained, multiplexed manner rather than
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
in monolithic pieces. By integrating volunteer computing into cloud architectures, we envision a gigantic
self-organizing cloud (SOC) being formed to reap the huge potential of untapped commodity computing
power over the Internet. Toward this new architecture where each participant may autonomously act as
both resource consumer and provider, we propose a fully distributed, VM-multiplexing resource
allocation scheme to manage decentralized resources. Our approach not only achieves maximized
resource utilization using the proportional share model (PSM), but also delivers provably and adaptively
optimal execution efficiency. We also design a novel multiattribute range query protocol for locating
qualified nodes. Contrary to existing solutions which often generate bulky messages per request, our
protocol produces only one lightweight query message per task on the Content Addressable Network
(CAN). It works effectively to find for each task its qualified resources under a randomized policy that
mitigates the contention among requesters. We show the SOC with our optimized algorithms can make an
improvement by 15-60 percent in system throughput than a P2P Grid model. Our solution also exhibits
fairly high adaptability in a dynamic node-churning environment.
ETPL
PDS-036
Enabling Efficient WiFi-Based Vehicular Content Distribution
Abstract: For better road safety and driving experience, content distribution for vehicle users through
roadside Access Points (APs) becomes an important and promising complement to 3G and other cellular
networks. In this paper, we introduce Cooperative Content Distribution System for Vehicles (CCDSV)
which operates upon a network of infrastructure APs to collaboratively distribute contents to moving
vehicles. CCDSV solves several important issues in a practical system, like the robustness to mobility
prediction errors, limited resources of APs and the shared content distribution. Our system organizes the
cooperative APs into a novel structure, namely, the contact map which is based on the vehicular contact
patterns observed by APs. To fully utilize the wireless bandwidth provided by APs, we propose a
representative-based prefetching mechanism, in which a set of representative APs are carefully selected
and then share their prefetched data with others. The selection process explicitly takes into account the
AP's storage capacity, storage status, inter-APs bandwidth and traffic loads on the backhaul links. We
apply network coding in CCDSV to augment the distribution of shared contents. The selection of shared
contents to be prefetched on an AP is based on the storage status of neighboring APs in the contact map
in order to increase the information utility of each prefetched data piece. Through extensive simulations,
CCDSV proves its effectiveness in vehicular content distribution under various scenarios
ETPL
PDS-037
Flexible Symmetrical Global-Snapshot Algorithms for Large-Scale Distributed
Systems
Abstract: Most existing global-snapshot algorithms in distributed systems use control messages to
coordinate the construction of a global snapshot among all processes. Since these algorithms typically
assume the underlying logical overlay topology is fully connected, the number of control messages
exchanged among the whole processes is proportional to the square of number of processes, resulting in
higher possibility of network congestion. Hence, such algorithms are neither efficient nor scalable for a
large-scale distributed system composed of a huge number of processes. Recently, some efforts have been
presented to significantly reduce the number of control messages, but doing so incurs higher response
time instead. In this paper, we propose an efficient global-snapshot algorithm able to let every process
finish its local snapshot in a given number of rounds. Particularly, such an algorithm allows a tradeoff
between the response time and the message complexity. Moreover, our global-snapshot algorithm is
symmetrical in the sense that identical steps are executed by every process. This means that our algorithm
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
is able to achieve better workload balance and less network congestion. Most importantly, based on our
framework, we demonstrate that the minimum number of control messages required by a symmetrical
global-snapshot algorithm is Ω(N log N), where N is the number of processes. Finally, we also assume
non-FIFO channels.
ETPL
PDS-038
Hardware Signature Designs to Deal with Asymmetry in Transactional Data Sets
Abstract: Transactional Memory (TM) systems must track memory accesses made by concurrent
transactions in order to detect conflicts. Many TM implementations use signatures for this purpose, which
summarize reads and writes in fixed-size bit registers at the cost of false positives (detection of
nonexisting conflicts). Signatures are commonly implemented as two separate same-sized Bloom filters,
one for reads and other for writes. In contrast, transactions frequently exhibit read and write sets of
uneven cardinality. This mismatch between data sets and filter storage introduces inefficiencies in the use
of signatures that have some impact on performance. This paper presents different signature designs as
alternatives to the common scheme to deal with the asymmetry in transactional data sets in an effective
way. Basically, we analyze two classes of new signatures, called multiset and reconfigurable asymmetric
signatures. The first class uses only one Bloom filter to track both read and write sets, while the second
class uses Bloom filters of configurable size for reads and writes. The main focus of this paper is a
thorough study of these alternative signature designs, including a statistical analysis of false positives and
an experimental evaluation, providing performance results and hardware area, time and energy
requirements.
ETPL
PDS-039
Improve Efficiency and Reliability in Single-Hop WSNs with Transmit-Only Nodes
Abstract: Wireless Sensor Networks (WSNs) will play a significant role at the “edge” of the future
“Internet of Things.” In particular, WSNs with transmit-only nodes are attracting more attention due to
their advantages in supporting applications requiring dense and long-lasting deployment at a very low
cost and energy consumption. However, the lack of receivers in transmit-only nodes renders most existing
MAC protocols invalid. Based on our previous study on WSNs with pure transmit-only nodes, this work
proposes a simple, yet cost effective and powerful single-hop hybrid WSN cluster architecture that
contains not only transmit-only nodes but also standard nodes (with transceivers). Along with the hybrid
architecture, this work also proposes a new MAC layer protocol framework called Robust Asynchronous
Resource Estimation (RARE) that efficiently and reliably manages the densely deployed single-hop
hybrid cluster in a self-organized fashion. Through analysis and extensive simulations, the proposed
framework is shown to meet or exceed the needs of most applications in terms of the data delivery
probability, QoS differentiation, system capacity, energy consumption, and reliability. To the best of our
knowledge, this work is the first that brings reliable scheduling to WSNs containing both
nonsynchronized transmit-only nodes and standard nodes.
ETPL
PDS-040
Improving the Reliability of MPI Libraries via Message Flow Checking
Abstract: Distributed processing through ad hoc and sensor networks is having a major impact on scale
and applications of computing. The creation of new cyber-physical services based on wireless sensor
devices relies heavily on how well communication protocols can be adapted and optimized to meet
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
quality constraints under limited energy resources. The IEEE 802.15.4 medium access control protocol
for wireless sensor networks can support energy efficient, reliable, and timely packet transmission by a
parallel and distributed tuning of the medium access control parameters. Such a tuning is difficult,
because simple and accurate models of the influence of these parameters on the probability of successful
packet transmission, packet delay, and energy consumption are not available. Moreover, it is not clear
how to adapt the parameters to the changes of the network and traffic regimes by algorithms that can run
on resource-constrained devices. In this paper, a Markov chain is proposed to model these relations by
simple expressions without giving up the accuracy. In contrast to previous work, the presence of limited
number of retransmissions, acknowledgments, unsaturated traffic, packet size, and packet copying delay
due to hardware limitations is accounted for. The model is then used to derive a distributed adaptive
algorithm for minimizing the power consumption while guaranteeing a given successful packet reception
probability and delay constraints in the packet transmission. The algorithm does not require any
modification of the IEEE 802.15.4 medium access control and can be easily implemented on network
devices. The algorithm has been experimentally implemented and evaluated on a testbed with off-the-
shelf wireless sensor devices. Experimental results show that the analysis is accurate, that the proposed
algorithm satisfies reliability and delay constraints, and that the approach reduces the energy consumption
of the network under both stationary and transient conditions. Specif- cally, even if the number of devices
and traffic configuration change sharply, the proposed parallel and distributed algorithm allows the
system to operate close to its optimal state by estimating the busy channel and channel access
probabilities. Furthermore, results indicate that the protocol reacts promptly to errors in the estimation of
the number of devices and in the traffic load that can appear due to device mobility. It is also shown that
the effect of imperfect channel and carrier sensing on system performance heavily depends on the traffic
load and limited range of the protocol parameters.
ETPL
PDS-041
Optimal Client-Server Assignment for Internet Distributed Systems
Abstract: We investigate an underlying mathematical model and algorithms for optimizing the
performance of a class of distributed systems over the Internet. Such a system consists of a large number
of clients who communicate with each other indirectly via a number of intermediate servers. Optimizing
the overall performance of such a system then can be formulated as a client-server assignment problem
whose aim is to assign the clients to the servers in such a way to satisfy some prespecified requirements
on the communication cost and load balancing. We show that 1) the total communication load and load
balancing are two opposing metrics, and consequently, their tradeoff is inherent in this class of distributed
systems; 2) in general, finding the optimal client-server assignment for some prespecified requirements on
the total load and load balancing is NP-hard, and therefore; 3) we propose a heuristic via relaxed convex
optimization for finding the approximate solution. Our simulation results indicate that the proposed
algorithm produces superior performance than other heuristics, including the popular Normalized Cuts
algorithm.
ETPL
PDS-042
Resilient Self-Compressive Monitoring for Large-Scale Hosting Infrastructures
Abstract: Large-scale hosting infrastructures have become the fundamental platforms for many real-world
systems such as cloud computing infrastructures, enterprise data centers, and massive data processing
systems. However, it is a challenging task to achieve both scalability and high precision while monitoring
a large number of intranode and internode attributes (e.g., CPU usage, free memory, free disk, internode
network delay). In this paper, we present the design and implementation of a Resilient self-Compressive
Monitoring (RCM) system for large-scale hosting infrastructures. RCM achieves scalable distributed
monitoring by performing online data compression to reduce remote data collection cost. RCM provides
failure resilience to achieve robust monitoring for dynamic distributed systems where host and network
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
failures are common. We have conducted extensive experiments using a set of real monitoring data from
NCSU's virtual computing lab (VCL), PlanetLab, a Google cluster, and real Internet traffic matrices. The
experimental results show that RCM can achieve up to 200 percent higher compression ratio and several
orders of magnitude less overhead than the existing approaches.
ETPL
PDS-043
Service Provision Control in Federated Service Providing Systems
Abstract: Different from traditional P2P systems, individuals nodes of a Federated Service Providing
(FSP) system play a more active role by offering a variety of domain-specific services. The service
provision control (SPC) problem is an important problem of the FSP system and will be tackled in this
paper within a stochastic optimization framework through several steps. The first step focuses on using
stochastic differential equations (SDEs) to model and analyze the dynamic evolution of the service
demand. Driven by the SDE model, expected future performance of a FSP system is analytically
evaluated in the second step. Step three utilizes the differential evolution (DE) algorithm to identify near-
optimal service-providing policies for each node. The service subscription protocol is further proposed in
step four to help every node adjust its local policy in accordance with the services provided by other
nodes. The four steps together implement a complete solution of the SPC problem and will be called the
SDE-based service-provision control (SSPC) mechanism in this paper. Experimental evaluation of the
mechanism has been reported in the paper. The results show that our approach is effective in tackling the
SPC problem and may be therefore suitable for many practical applications.
ETPL
PDS-044
Social Similarity Favors Cooperation: The Distributed Content Replication Case
Abstract: This paper explores how the degree of similarity within a social group can dictate the behavior
of the individual nodes, so as to best tradeoff the individual with the social benefit. More specifically, we
investigate the impact of social similarity on the effectiveness of content placement and dissemination.
We consider three schemes that represent well the spectrum of behavior-shaped content storage strategies:
the selfish, the self-aware cooperative, and the optimally altruistic ones. Our study shows that when the
social group is tight (high degree of similarity), the optimally altruistic behavior yields the best
performance for both the entire group (by definition) and the individual nodes (contrary to typical
expectations). When the group is made up of members with almost no similarity, altruism or cooperation
cannot bring much benefit to either the group or the individuals and thus, selfish behavior emerges as the
preferable choice due to its simplicity. Notably, from a theoretical point of view, our “similarity favors
cooperation” argument is inline with sociological interpretations of human altruistic behavior. On a more
practical note, the self-aware cooperative behavior could be adopted as an easy to implement distributed
alternative to the optimally altruistic one; it has close to the optimal performance for tight social groups
and the additional advantage of not allowing mistreatment of any node, i.e., its induced content retrieval
cost is always smaller than the cost of the selfish strategy.
ETPL
PDS-045
SPOC: A Secure and Privacy-Preserving Opportunistic Computing Framework for
Mobile-Healthcare Emergency
Abstract: With the pervasiveness of smart phones and the advance of wireless body sensor networks
(BSNs), mobile Healthcare (m-Healthcare), which extends the operation of Healthcare provider into a
pervasive environment for better health monitoring, has attracted considerable interest recently. However,
the flourish of m-Healthcare still faces many challenges including information security and privacy
preservation. In this paper, we propose a secure and privacy-preserving opportunistic computing
framework, called SPOC, for m-Healthcare emergency. With SPOC, smart phone resources including
computing power and energy can be opportunistically gathered to process the computing-intensive
personal health information (PHI) during m-Healthcare emergency with minimal privacy disclosure. In
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
specific, to leverage the PHI privacy disclosure and the high reliability of PHI process and transmission in
m-Healthcare emergency, we introduce an efficient user-centric privacy access control in SPOC
framework, which is based on an attribute-based access control and a new privacy-preserving scalar
product computation (PPSPC) technique, and allows a medical user to decide who can participate in the
opportunistic computing to assist in processing his overwhelming PHI data. Detailed security analysis
shows that the proposed SPOC framework can efficiently achieve user-centric privacy access control in
m-Healthcare emergency. In addition, performance evaluations via extensive simulations demonstrate the
SPOC's effectiveness in term of providing high-reliable-PHI process and transmission while minimizing
the privacy disclosure during m-Healthcare emergency.
ETPL
PDS-046
A Secure Protocol for Spontaneous Wireless Ad Hoc Networks Creation
Abstract: This paper presents a secure protocol for spontaneous wireless ad hoc networks which uses an
hybrid symmetric/asymmetric scheme and the trust between users in order to exchange the initial data and
to exchange the secret keys that will be used to encrypt the data. Trust is based on the first visual contact
between users. Our proposal is a complete self-configured secure protocol that is able to create the
network and share secure services without any infrastructure. The network allows sharing resources and
offering new services among users in a secure environment. The protocol includes all functions needed to
operate without any external support. We have designed and developed it in devices with limited
resources. Network creation stages are detailed and the communication, protocol messages, and network
management are explained. Our proposal has been implemented in order to test the protocol procedure
and performance. Finally, we compare the protocol with other spontaneous ad hoc network protocols in
order to highlight its features and we provide a security analysis of the system.
ETPL
PDS-047
Bayesian-Inference-Based Recommendation in Online Social Networks
Abstract: In this paper, we propose a Bayesian-inference-based recommendation system for online social
networks. In our system, users share their content ratings with friends. The rating similarity between a
pair of friends is measured by a set of conditional probabilities derived from their mutual rating history. A
user propagates a content rating query along the social network to his direct and indirect friends. Based on
the query responses, a Bayesian network is constructed to infer the rating of the querying user. We
develop distributed protocols that can be easily implemented in online social networks. We further
propose to use Prior distribution to cope with cold start and rating sparseness. The proposed algorithm is
evaluated using two different online rating data sets of real users. We show that the proposed Bayesian-
inference-based recommendation is better than the existing trust-based recommendations and is
comparable to Collaborative Filtering (CF) recommendation. It allows the flexible tradeoffs between
recommendation quality and recommendation quantity. We further show that informative Prior
distribution is indeed helpful to overcome cold start and rating sparseness.
ETPL
PDS-048
CDS-Based Virtual Backbone Construction with Guaranteed Routing Cost in Wireless
Sensor Networks
Abstract: Inspired by the backbone concept in wired networks, virtual backbone is expected to bring
substantial benefits to routing in wireless sensor networks (WSNs). Virtual backbone construction based
on Connected Dominating Set (CDS) is a competitive approach among the existing methods used to
establish virtual backbone in WSNs. Traditionally, CDS size was the only factor considered in the CDS-
based approach. The motivation was that smaller CDS leads to simplified network maintenance.
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
However, routing cost in terms of routing path length is also an important factor for virtual backbone
construction. In our research, both of these two factors are taken into account. Specifically, we attempt to
devise a polynomial-time constant-approximation algorithm that leads to a CDS with bounded CDS size
and guaranteed routing cost. We prove that, under general graph model, there is no polynomial-time
constant-approximation algorithm unless P = NP. Under Unit Disk Graph (UDG) model, we propose an
innovative polynomial-time constant-approximation algorithm, GOC-MCDS-C, that produces a CDS D
whose size I D is within a constant factor from that of the minimum CDS. In addition, for each node pair
u and v, there exists a routing path with all intermediate nodes in D and path length at most 7 · d(u, v),
where d(u, v) is the length of the shortest path between u and v. Our theoretical analysis and simulation
results show that the distributed version of the proposed algorithm, GOC-MCDS-D, outperforms the
existing approaches.
ETPL
PDS-049
Characterization and Management of Popular Content in KAD
Abstract: The endeavor of this work is to study the impact of content popularity in a large-scale Peer-to-
Peer network, namely KAD. Based on an extensive measurement campaign, we pinpoint several
deficiencies of KAD in handling popular content and provide a series of improvements to address such
shortcomings. Our work reveals that keywords, which are associated with content, may become popular
for two distinct reasons. First, we show that some keywords are intrinsically popular because they are
common to many disparate contents: in such case we ameliorate KAD by introducing a simple
mechanism that identifies stopwords. Then, we focus on keyword popularity that directly relates to
popular content. We design and evaluate an adaptive load balancing mechanism that is backward
compatible with the original implementation of KAD. Our scheme features the following properties: 1) it
drives the process that selects the location of peers responsible to store references to objects, based on
object popularity; 2) it solves problems related to saturated peers that would otherwise inflict a significant
drop in the diversity of references to objects, and 3) if coupled with a load-aware content search
procedure, it allows for a more fair and efficient usage of peer resources.
ETPL
PDS-050
Complete EAP Method: User Efficient and Forward Secure Authentication Protocol
for IEEE 802.11 Wireless LANs
Abstract: It is necessary to authenticate users who attempt to access resources in Wireless Local Area
Networks (WLANs). Extensible Authentication Protocol (EAP) is an authentication framework widely
used in WLANs. Authentication mechanisms built on EAP are called EAP methods. The requirements for
EAP methods in WLAN authentication have been defined in RFC 4017. To achieve user efficiency and
robust security, lightweight computation and forward secrecy, excluded in RFC 4017, are desired in
WLAN authentication. However, all EAP methods and authentication protocols designed for WLANs so
far do not satisfy all of the above properties. This manuscript will present a complete EAP method that
utilizes stored secrets and passwords to verify users so that it can 1) fully meet the requirements of RFC
4017, 2) provide for lightweight computation, and 3) allow for forward secrecy. In addition, we also
demonstrate the security of our proposed EAP method with formal proofs.
ETPL
PDS-051
Coordinated Self-Configuration of Virtual Machines and Appliances Using a Model-
Free Learning Approach
Abstract: Cloud computing has a key requirement for resource configuration in a real-time manner. In
such virtualized environments, both virtual machines (VMs) and hosted applications need to be
configured on-the-fly to adapt to system dynamics. The interplay between the layers of VMs and
applications further complicates the problem of cloud configuration. Independent tuning of each aspect
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
may not lead to optimal system wide performance. In this paper, we propose a framework, namely
CoTuner, for coordinated configuration of VMs and resident applications. At the heart of the framework
is a model-free hybrid reinforcement learning (RL) approach, which combines the advantages of Simplex
method and RL method and is further enhanced by the use of system knowledge guided exploration
policies. Experimental results on Xen-based virtualized environments with TPC-W and TPC-C
benchmarks demonstrate that CoTuner is able to drive a virtual server cluster into an optimal or near-
optimal configuration state on the fly, in response to the change of workload. It improves the systems
throughput by more than 30 percent over independent tuning strategies. In comparison with the
coordinated tuning strategies based on basic RL or Simplex algorithm, the hybrid RL algorithm gains 25
to 40 percent throughput improvement.
ETPL
PDS-052
Exploiting Concurrency for Efficient Dissemination in Wireless Sensor Networks
Abstract: Cloud computing has a key requirement for resource configuration in a real-time manner. In
such virtualized environments, both virtual machines (VMs) and hosted applications need to be
configured on-the-fly to adapt to system dynamics. The interplay between the layers of VMs and
applications further complicates the problem of cloud configuration. Independent tuning of each aspect
may not lead to optimal system wide performance. In this paper, we propose a framework, namely
CoTuner, for coordinated configuration of VMs and resident applications. At the heart of the framework
is a model-free hybrid reinforcement learning (RL) approach, which combines the advantages of Simplex
method and RL method and is further enhanced by the use of system knowledge guided exploration
policies. Experimental results on Xen-based virtualized environments with TPC-W and TPC-C
benchmarks demonstrate that CoTuner is able to drive a virtual server cluster into an optimal or near-
optimal configuration state on the fly, in response to the change of workload. It improves the systems
throughput by more than 30 percent over independent tuning strategies. In comparison with the
coordinated tuning strategies based on basic RL or Simplex algorithm, the hybrid RL algorithm gains 25
to 40 percent throughput improvement.
ETPL
PDS-053
Exploiting Concurrency for Efficient Dissemination in Wireless Sensor Networks
Abstract: Wireless sensor networks (WSNs) can be successfully applied in a wide range of applications.
Efficient data dissemination is a fundamental service which enables many useful high-level functions
such as parameter reconfiguration, network reprogramming, etc. Many current data dissemination
protocols employ network coding techniques to deal with packet losses. The coding overhead, however,
becomes a bottleneck in terms of dissemination delay. We exploit the concurrency potential of sensor
nodes and propose MT-Deluge, a multithreaded design of a coding-based data dissemination protocol. By
separating the coding and radio operations into two threads and carefully scheduling their executions,
MT-Deluge shortens the dissemination delay effectively. An incremental decoding algorithm is employed
to further improve MT-Deluge's performance. Experiments with 24 TelosB motes on four representative
topologies show that MT-Deluge shortens the dissemination delay by 25.5-48.6 percent compared to a
typical data dissemination protocol while keeping the merits of loss resilience.
ETPL
PDS-054
Fault Tolerance in Distributed Systems Using Fused Data Structures
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
Abstract: Replication is the prevalent solution to tolerate faults in large data structures hosted on
distributed servers. To tolerate f crash faults (dead/unresponsive data structures) among n distinct data
structures, replication requires f + 1 replicas of each data structure, resulting in nf additional backups. We
present a solution, referred to as fusion that uses a combination of erasure codes and selective replication
to tolerate f crash faults using just f additional fused backups. We show that our solution achieves O(n)
savings in space over replication. Further, we present a solution to tolerate f Byzantine faults (malicious
data structures), that requires only nf + f backups as compared to the 2nf backups required by replication.
We explore the theory of fused backups and provide a library of such backups for all the data structures in
the Java Collection Framework. The theoretical and experimental evaluation confirms that the fused
backups are space-efficient as compared to replication, while they cause very little overhead for normal
operation. To illustrate the practical usefulness of fusion, we use fused backups for reliability in Amazon's
highly available key-value store, Dynamo. While the current replication-based solution uses 300 backup
structures, we present a solution that only requires 120 backup structures. This results in savings in space
as well as other resources such as power.
ETPL
PDS-055
Feasibility of Polynomial-Time Randomized Gathering for Oblivious Mobile Robots
Abstract: We consider the problem of gathering n anonymous and oblivious mobile robots, which
requires that all robots meet in finite time at a nonpredefined point. While the gathering problem cannot
be solved deterministically without assuming any additional capabilities for the robots, randomized
approaches easily allow it to be solvable. However, the randomized solutions currently known have a
time complexity that is exponential in n with no additional assumption. This fact yields the following two
questions: Is it possible to construct a randomized gathering algorithm with polynomial expected time? If
it is not possible, what is the minimal additional assumption necessary to obtain such an algorithm? In
this paper, we address these questions from the aspect of multiplicity-detection capabilities. We newly
introduce two weaker variants of multiplicity detection, called local-strong and local-weak multiplicity,
and investigate whether those capabilities permit a gathering algorithm with polynomial expected time or
not. The contribution of this paper is to show that any algorithm only assuming local-weak multiplicity
detection takes exponential number of rounds in expectation. On the other hand, we can obtain a constant-
round gathering algorithm using local-strong multiplicity detection. These results imply that the two
models of multiplicity detection are significantly different in terms of their computational power.
Interestingly, these differences disappear if we take one more assumption that all robots are scattered (i.e.,
no two robots stay at the same location) initially. We can obtain a gathering algorithm that takes a
constant number of rounds in expectation, assuming local-weak multiplicity detection and scattered initial
configurations.
ETPL
PDS-056
Finding All Maximal Contiguous Subsequences of a Sequence of Numbers in O(1)
Communication Rounds
Abstract: Given a sequence A of real numbers, we wish to find a list of all nonoverlapping contiguous
subsequences of A that are maximal. A maximal subsequence M of A has the property that no proper
subsequence of M has a greater sum of values. Furthermore, M may not be contained properly within any
subsequence of A with this property. This problem has several applications in Computational Biology and
can be solved sequentially in linear time. We present a BSP/CGM algorithm that solves this problem
using p processors in O(|A|=p) time and O(|A|=p) space per processor. The algorithm uses a constant
number of communication rounds of size at most O(|A|=p). Thus, the algorithm achieves linear speedup
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
and is highly scalable. To our knowledge, there are no previous known parallel BSP/CGM algorithms to
solve this problem.
ETPL
PDS-057
Geocommunity-Based Broadcasting for Data Dissemination in Mobile Social Networks
Abstract: In this paper, we consider the issue of data broadcasting in mobile social networks (MSNets).
The objective is to broadcast data from a superuser to other users in the network. There are two main
challenges under this paradigm, namely 1) how to represent and characterize user mobility in realistic
MSNets; 2) given the knowledge of regular users' movements, how to design an efficient superuser route
to broadcast data actively. We first explore several realistic data sets to reveal both geographic and social
regularities of human mobility, and further propose the concepts of geocommunity and geocentrality into
MSNet analysis. Then, we employ a semi-Markov process to model user mobility based on the
geocommunity structure of the network. Correspondingly, the geocentrality indicating the “dynamic user
density” of each geocommunity can be derived from the semi-Markov model. Finally, considering the
geocentrality information, we provide different route algorithms to cater to the superuser that wants to
either minimize total duration or maximize dissemination ratio. To the best of our knowledge, this work is
the first to study data broadcasting in a realistic MSNet setting. Extensive trace-driven simulations show
that our approach consistently outperforms other existing superuser route design algorithms in terms of
dissemination ratio and energy efficiency.
ETPL
PDS-058
LOBOT: Low-Cost, Self-Contained Localization of Small-Sized Ground Robotic
Vehicles
Abstract: It is often important to obtain the real-time location of a small-sized ground robotic vehicle
when it performs autonomous tasks either indoors or outdoors. We propose and implement LOBOT, a
low-cost, self-contained localization system for small-sized ground robotic vehicles. LOBOT provides
accurate real-time, 3D positions in both indoor and outdoor environments. Unlike other localization
schemes, LOBOT does not require external reference facilities, expensive hardware, careful tuning or
strict calibration, and is capable of operating under various indoor and outdoor environments. LOBOT
identifies the local relative movement through a set of integrated inexpensive sensors and well corrects
the localization drift by infrequent GPS-augmentation. Our empirical experiments in various temporal and
spatial scales show that LOBOT keeps the positioning error well under an accepted threshold.
ETPL
PDS-059
Lower Bound for Node Buffer Size in Intermittently Connected Wireless Networks
Abstract: We study the fundamental lower bound for node buffer size in intermittently connected wireless
networks. The intermittent connectivity is caused by the possibility of node inactivity due to some
external constraints. We find even with infinite channel capacity and node processing speed, buffer
occupation in each node does not approach zero in a static random network where each node keeps a
constant message generation rate. Given the condition that each node has the same probability p of being
inactive during each time slot, there exists a critical value pc(λ) for this probability from a percolation-
based perspective. When p <; pc(λ), the network is in the supercritical case, and there is an achievable
lower bound (In our paper, “achievable” means that node buffer size in networks can achieve the same
order as the lower bound by applying some transmission scheme) for the occupied buffer size of each
node, which is asymptotically independent of the size of the network. If p > pc(λ), the network is in the
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
subcritical case, and there is a tight lower bound Θ(√n) for buffer occupation, where n is the number of
nodes in the network.
ETPL
PDS-060
On-Chip Sensor Network for Efficient Management of Power Gating-Induced
Power/Ground Noise in Multiprocessor System on Chip
Abstract: Reducing feature sizes and power supply voltage allows integrating more processing units
(PUs) on multiprocessor system on chip (MPSoC) to satisfy the increasing demands of applications.
However, it also makes MPSoC more susceptible to various reliability threats, such as high temperature
and power/ground (P/G) noise. As the scale and complexity of MPSoC continuously increase, monitoring
and mitigating reliability threats at runtime could offer better performance, scalability, and flexibility for
MPSoC designs. In this paper, we propose a systematic approach, on-chip sensor network (SENoC), to
collaboratively predict, detect, report, and alleviate runtime threats in MPSoC. SENoC not only detects
reliability threats and shares related information among PUs, but also plans and coordinates the reactions
of related PUs in MPSoC. SENoC is used to alleviate the impacts of simultaneous switching noise in
MPSoC's P/G network during power gating. Based on the detailed noise behaviors under different
scenarios derived by our circuit-level MPSoC P/G noise simulation and analysis platform, simulation
results show that SENoC helps to achieve on average 26.2 percent performance improvement compared
with the traditional stop-go method with 1.4 percent area overhead in an 8*8-core MPSoC in 45 nm. An
architecture-level cycle-accurate simulator based on SystemC is implemented to study the performance of
the proposed SENoC. By applying sophisticated scheduling techniques to optimize the total system
performance, a higher performance improvement of 43.5 percent is achieved for a set of real-life
applications.
ETPL
PDS-061
Robust Tracking of Small-Scale Mobile Primary User in Cognitive Radio Networks
Abstract: In cognitive radio networks (CRNs), secondary users must be able to accurately and reliably
track the location of small-scale mobile primary users/devices (e.g., wireless microphones) in order to
efficiently utilize spatial spectrum opportunities, while protecting primary communications. However,
accurate tracking of the location of mobile primary users is difficult due mainly to the CR-unique
constraint, i.e., localization must rely solely on reported sensing results (i.e., measured primary signal
strengths), which can easily be compromised by malicious sensors (or attackers). To cope with this
challenge, we propose a new framework, called Sequential mOnte carLo combIned with shadow-faDing
estimation (SOLID), for accurate, attack/fault-tolerant tracking of small-scale mobile primary users. The
key idea underlying SOLID is to exploit the temporal shadow fading correlation in sensing results
induced by the primary user's mobility. Specifically, SOLID augments conventional Sequential Monte
Carlo (SMC)-based target tracking with shadow-fading estimation. By examining the shadow-fading gain
between the primary transmitter and CRs/sensors, SOLID 1) significantly improves the accuracy of
primary tracking regardless of the presence/absence of attack, and 2) successfully masks the abnormal
sensing reports due to sensor faults or attacks, preserving localization accuracy and improving spatial
spectrum efficiency. Our extensive evaluation in realistic wireless fading environments shows that
SOLID lowers localization error by up to 88 percent in the absence of attacks, and 89 percent in the
presence of the challenging "slow-poisoning” attack, compared to the conventional SMC-based tracking.
Elysium Technologies Private Limited
Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad |
Pondicherry | Trivandrum | Salem | Erode | Tirunelveli
http://www.elysiumtechnologies.com, info@elysiumtechnologies.com
ETPL
PDS-062
Scheduling Sensor Data Collection with Dynamic Traffic Patterns
Abstract: The network traffic pattern of continuous sensor data collection often changes constantly over
time due to the exploitation of temporal and spatial data correlations as well as the nature of condition-
based monitoring applications. In contrast to most existing TDMA schedules designed for a static
network traffic pattern, this paper proposes a novel TDMA schedule that is capable of efficiently
collecting sensor data for any network traffic pattern and is thus well suited to continuous data collection
with dynamic traffic patterns. In the proposed schedule, the energy consumed by sensor nodes for any
traffic pattern is very close to the minimum required by their workloads given in the traffic pattern. The
schedule also allows the base station to conclude data collection as early as possible according to the
traffic load, thereby reducing the latency of data collection. We present a distributed algorithm for
constructing the proposed schedule. We develop a mathematical model to analyze the performance of the
proposed schedule. We also conduct simulation experiments to evaluate the performance of different
schedules using real-world data traces. Both the analytical and simulation results show that, compared
with existing schedules that are targeted on a fixed traffic pattern, our proposed schedule significantly
improves the energy efficiency and time efficiency of sensor data collection with dynamic traffic patterns.
ETPL
PDS-063
Secure SOurce-BAsed Loose Synchronization (SOBAS) for Wireless Sensor Networks
Abstract: We present the Secure SOurce-BAsed Loose Synchronization (SOBAS) protocol to securely
synchronize the events in the network, without the transmission of explicit synchronization control
messages. In SOBAS, nodes use their local time values as a one-time dynamic key to encrypt each
message. In this way, SOBAS provides an effective dynamic en-route filtering mechanism, where the
malicious data is filtered from the network. With SOBAS, we are able to achieve our main goal of
synchronizing events at the sink as quickly, as accurately, and as surreptitiously as possible. With loose
synchronization, SOBAS reduces the number of control messages needed for a WSN to operate providing
the key benefits of reduced energy consumption as well as reducing the opportunity for malicious nodes
to eavesdrop, intercept, or be made aware of the presence of the network. Albeit a loose synchronization
per se, SOBAS is also able to provide 7.24 μs clock precision given today's sensor technology, which is
much better than other comparable schemes (schemes that do not employ GPS devices). Also, we show
that by recognizing the need for and employing loose time synchronization, necessary synchronization
can be provided to the WSN application using half of the energy needed for traditional schemes. Both
analytical and simulation results are presented to verify the feasibility of SOBAS as well as the energy
consumption of the scheme under normal operation and attack from malicious nodes.
ETPL
PDS-064
On Data Staging Algorithms for Shared Data Accesses in Clouds
Abstract: In this paper, we study the strategies for efficiently achieving data staging and caching on a set
of vantage sites in a cloud system with a minimum cost. Unlike the traditional research, we do not intend
to identify the access patterns to facilitate the future requests. Instead, with such a kind of information
presumably known in advance, our goal is to efficiently stage the shared data items to predetermined sites
at advocated time instants to align with the patterns while minimizing the monetary costs for caching and
transmitting the requested data items. To this end, we follow the cost and network models in [1] and
extend the analysis to multiple data items, each with single or multiple copies. Our results show that
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract
Final Year IEEE Project 2013-2014  - Parallel and Distributed Systems Project Title and Abstract

More Related Content

What's hot

Ieee projects 2012 for cse
Ieee projects 2012 for cseIeee projects 2012 for cse
Ieee projects 2012 for cse
SBGC
 
A COOPERATIVE LOCALIZATION METHOD BASED ON V2I COMMUNICATION AND DISTANCE INF...
A COOPERATIVE LOCALIZATION METHOD BASED ON V2I COMMUNICATION AND DISTANCE INF...A COOPERATIVE LOCALIZATION METHOD BASED ON V2I COMMUNICATION AND DISTANCE INF...
A COOPERATIVE LOCALIZATION METHOD BASED ON V2I COMMUNICATION AND DISTANCE INF...
IJCNCJournal
 
SECURING BGP BY HANDLING DYNAMIC NETWORK BEHAVIOR AND UNBALANCED DATASETS
SECURING BGP BY HANDLING DYNAMIC NETWORK BEHAVIOR AND UNBALANCED DATASETSSECURING BGP BY HANDLING DYNAMIC NETWORK BEHAVIOR AND UNBALANCED DATASETS
SECURING BGP BY HANDLING DYNAMIC NETWORK BEHAVIOR AND UNBALANCED DATASETS
IJCNCJournal
 
Dynamic cluster based adaptive gateway discovery mechanisms in an integrated ...
Dynamic cluster based adaptive gateway discovery mechanisms in an integrated ...Dynamic cluster based adaptive gateway discovery mechanisms in an integrated ...
Dynamic cluster based adaptive gateway discovery mechanisms in an integrated ...
IAEME Publication
 
An analysis of a large scale wireless image distribution system deployment
An analysis of a large scale wireless image distribution system deploymentAn analysis of a large scale wireless image distribution system deployment
An analysis of a large scale wireless image distribution system deployment
Conference Papers
 
Buffer sizing for 802.11 based networks
Buffer sizing for 802.11 based networksBuffer sizing for 802.11 based networks
Buffer sizing for 802.11 based networks
Ocular Systems
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
IDES Editor
 

What's hot (18)

Improving Network Security in MANETS using IEEACK
Improving Network Security in MANETS using IEEACKImproving Network Security in MANETS using IEEACK
Improving Network Security in MANETS using IEEACK
 
Ieee projects 2012 for cse
Ieee projects 2012 for cseIeee projects 2012 for cse
Ieee projects 2012 for cse
 
AN EFFICIENT INTRUSION DETECTION SYSTEM WITH CUSTOM FEATURES USING FPA-GRADIE...
AN EFFICIENT INTRUSION DETECTION SYSTEM WITH CUSTOM FEATURES USING FPA-GRADIE...AN EFFICIENT INTRUSION DETECTION SYSTEM WITH CUSTOM FEATURES USING FPA-GRADIE...
AN EFFICIENT INTRUSION DETECTION SYSTEM WITH CUSTOM FEATURES USING FPA-GRADIE...
 
A COOPERATIVE LOCALIZATION METHOD BASED ON V2I COMMUNICATION AND DISTANCE INF...
A COOPERATIVE LOCALIZATION METHOD BASED ON V2I COMMUNICATION AND DISTANCE INF...A COOPERATIVE LOCALIZATION METHOD BASED ON V2I COMMUNICATION AND DISTANCE INF...
A COOPERATIVE LOCALIZATION METHOD BASED ON V2I COMMUNICATION AND DISTANCE INF...
 
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Networknew
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::NetworknewIEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Networknew
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Networknew
 
Learning-based Orchestrator for Intelligent Software-defined Networking Contr...
Learning-based Orchestrator for Intelligent Software-defined Networking Contr...Learning-based Orchestrator for Intelligent Software-defined Networking Contr...
Learning-based Orchestrator for Intelligent Software-defined Networking Contr...
 
SECURING BGP BY HANDLING DYNAMIC NETWORK BEHAVIOR AND UNBALANCED DATASETS
SECURING BGP BY HANDLING DYNAMIC NETWORK BEHAVIOR AND UNBALANCED DATASETSSECURING BGP BY HANDLING DYNAMIC NETWORK BEHAVIOR AND UNBALANCED DATASETS
SECURING BGP BY HANDLING DYNAMIC NETWORK BEHAVIOR AND UNBALANCED DATASETS
 
ON THE PERFORMANCE OF INTRUSION DETECTION SYSTEMS WITH HIDDEN MULTILAYER NEUR...
ON THE PERFORMANCE OF INTRUSION DETECTION SYSTEMS WITH HIDDEN MULTILAYER NEUR...ON THE PERFORMANCE OF INTRUSION DETECTION SYSTEMS WITH HIDDEN MULTILAYER NEUR...
ON THE PERFORMANCE OF INTRUSION DETECTION SYSTEMS WITH HIDDEN MULTILAYER NEUR...
 
Ieee projects 2011 ns 2 SBGC ( Trichy, Madurai, Chennai, Dindigul, Natham, Pu...
Ieee projects 2011 ns 2 SBGC ( Trichy, Madurai, Chennai, Dindigul, Natham, Pu...Ieee projects 2011 ns 2 SBGC ( Trichy, Madurai, Chennai, Dindigul, Natham, Pu...
Ieee projects 2011 ns 2 SBGC ( Trichy, Madurai, Chennai, Dindigul, Natham, Pu...
 
A Survey on DPI Techniques for Regular Expression Detection in Network Intrus...
A Survey on DPI Techniques for Regular Expression Detection in Network Intrus...A Survey on DPI Techniques for Regular Expression Detection in Network Intrus...
A Survey on DPI Techniques for Regular Expression Detection in Network Intrus...
 
Dynamic cluster based adaptive gateway discovery mechanisms in an integrated ...
Dynamic cluster based adaptive gateway discovery mechanisms in an integrated ...Dynamic cluster based adaptive gateway discovery mechanisms in an integrated ...
Dynamic cluster based adaptive gateway discovery mechanisms in an integrated ...
 
Ieeepro techno solutions 2013 ieee embedded project an integrated design fr...
Ieeepro techno solutions   2013 ieee embedded project an integrated design fr...Ieeepro techno solutions   2013 ieee embedded project an integrated design fr...
Ieeepro techno solutions 2013 ieee embedded project an integrated design fr...
 
An analysis of a large scale wireless image distribution system deployment
An analysis of a large scale wireless image distribution system deploymentAn analysis of a large scale wireless image distribution system deployment
An analysis of a large scale wireless image distribution system deployment
 
IRJET- An Overview of Hiding Information in H.264/Avc Compressed Video
IRJET- An Overview of Hiding Information in H.264/Avc Compressed VideoIRJET- An Overview of Hiding Information in H.264/Avc Compressed Video
IRJET- An Overview of Hiding Information in H.264/Avc Compressed Video
 
Visualization of Computer Forensics Analysis on Digital Evidence
Visualization of Computer Forensics Analysis on Digital EvidenceVisualization of Computer Forensics Analysis on Digital Evidence
Visualization of Computer Forensics Analysis on Digital Evidence
 
Buffer sizing for 802.11 based networks
Buffer sizing for 802.11 based networksBuffer sizing for 802.11 based networks
Buffer sizing for 802.11 based networks
 
MEKDA: Multi-Level ECC based Key Distribution and Authentication in Internet ...
MEKDA: Multi-Level ECC based Key Distribution and Authentication in Internet ...MEKDA: Multi-Level ECC based Key Distribution and Authentication in Internet ...
MEKDA: Multi-Level ECC based Key Distribution and Authentication in Internet ...
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 

Similar to Final Year IEEE Project 2013-2014 - Parallel and Distributed Systems Project Title and Abstract

Analysis Of Wireless Sensor Network Routing Protocols
Analysis Of Wireless Sensor Network Routing ProtocolsAnalysis Of Wireless Sensor Network Routing Protocols
Analysis Of Wireless Sensor Network Routing Protocols
Amanda Brady
 
.Net projects 2011 by core ieeeprojects.com
.Net projects 2011 by core ieeeprojects.com .Net projects 2011 by core ieeeprojects.com
.Net projects 2011 by core ieeeprojects.com
msudan92
 
Secure computing for java and dot net
Secure computing for java and dot netSecure computing for java and dot net
Secure computing for java and dot net
redpel dot com
 

Similar to Final Year IEEE Project 2013-2014 - Parallel and Distributed Systems Project Title and Abstract (20)

IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...
 
Ieee projects 2012 2013 - Mobile Computing
Ieee projects 2012 2013 - Mobile ComputingIeee projects 2012 2013 - Mobile Computing
Ieee projects 2012 2013 - Mobile Computing
 
Parallel and distributed system projects for java and dot net
Parallel and distributed system projects for java and dot netParallel and distributed system projects for java and dot net
Parallel and distributed system projects for java and dot net
 
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Parallel ...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Parallel ...IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Parallel ...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Parallel ...
 
Mobile computing projects list for java and dot net
Mobile computing projects list for java and dot netMobile computing projects list for java and dot net
Mobile computing projects list for java and dot net
 
Final Year IEEE Project 2013-2014 - Grid Computing Project Title and Abstract
Final Year IEEE Project 2013-2014  - Grid Computing Project Title and AbstractFinal Year IEEE Project 2013-2014  - Grid Computing Project Title and Abstract
Final Year IEEE Project 2013-2014 - Grid Computing Project Title and Abstract
 
Analysis Of Wireless Sensor Network Routing Protocols
Analysis Of Wireless Sensor Network Routing ProtocolsAnalysis Of Wireless Sensor Network Routing Protocols
Analysis Of Wireless Sensor Network Routing Protocols
 
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Mobilecom...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Mobilecom...IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Mobilecom...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Mobilecom...
 
.Net projects 2011 by core ieeeprojects.com
.Net projects 2011 by core ieeeprojects.com .Net projects 2011 by core ieeeprojects.com
.Net projects 2011 by core ieeeprojects.com
 
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Networkse...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Networkse...IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Networkse...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Networkse...
 
Networking for java and dotnet 2016 - 17
Networking for java and dotnet 2016 - 17Networking for java and dotnet 2016 - 17
Networking for java and dotnet 2016 - 17
 
Secure computing for java and dot net
Secure computing for java and dot netSecure computing for java and dot net
Secure computing for java and dot net
 
Networking project list for java and dotnet
Networking project list for java and dotnetNetworking project list for java and dotnet
Networking project list for java and dotnet
 
M phil-computer-science-network-security-projects
M phil-computer-science-network-security-projectsM phil-computer-science-network-security-projects
M phil-computer-science-network-security-projects
 
Java and .net IEEE 2012
Java and .net IEEE 2012Java and .net IEEE 2012
Java and .net IEEE 2012
 
Online stream mining approach for clustering network traffic
Online stream mining approach for clustering network trafficOnline stream mining approach for clustering network traffic
Online stream mining approach for clustering network traffic
 
Online stream mining approach for clustering network traffic
Online stream mining approach for clustering network trafficOnline stream mining approach for clustering network traffic
Online stream mining approach for clustering network traffic
 
LEARNING-BASED ORCHESTRATOR FOR INTELLIGENT SOFTWARE-DEFINED NETWORKING CONTR...
LEARNING-BASED ORCHESTRATOR FOR INTELLIGENT SOFTWARE-DEFINED NETWORKING CONTR...LEARNING-BASED ORCHESTRATOR FOR INTELLIGENT SOFTWARE-DEFINED NETWORKING CONTR...
LEARNING-BASED ORCHESTRATOR FOR INTELLIGENT SOFTWARE-DEFINED NETWORKING CONTR...
 
Cluster Based Misbehaviour Detection and Authentication Using Threshold Crypt...
Cluster Based Misbehaviour Detection and Authentication Using Threshold Crypt...Cluster Based Misbehaviour Detection and Authentication Using Threshold Crypt...
Cluster Based Misbehaviour Detection and Authentication Using Threshold Crypt...
 
IEEE Projects 2012-2013 Network Security
IEEE Projects 2012-2013 Network SecurityIEEE Projects 2012-2013 Network Security
IEEE Projects 2012-2013 Network Security
 

Recently uploaded

Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
ciinovamais
 
Spellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please PractiseSpellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please Practise
AnaAcapella
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
kauryashika82
 

Recently uploaded (20)

How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
Spellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please PractiseSpellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please Practise
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
psychiatric nursing HISTORY COLLECTION .docx
psychiatric  nursing HISTORY  COLLECTION  .docxpsychiatric  nursing HISTORY  COLLECTION  .docx
psychiatric nursing HISTORY COLLECTION .docx
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 

Final Year IEEE Project 2013-2014 - Parallel and Distributed Systems Project Title and Abstract

  • 1. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com 13 Years of Experience Automated Services 24/7 Help Desk Support Experience & Expertise Developers Advanced Technologies & Tools Legitimate Member of all Journals Having 1,50,000 Successive records in all Languages More than 12 Branches in Tamilnadu, Kerala & Karnataka. Ticketing & Appointment Systems. Individual Care for every Student. Around 250 Developers & 20 Researchers
  • 2. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com 227-230 Church Road, Anna Nagar, Madurai – 625020. 0452-4390702, 4392702, + 91-9944793398. info@elysiumtechnologies.com, elysiumtechnologies@gmail.com S.P.Towers, No.81 Valluvar Kottam High Road, Nungambakkam, Chennai - 600034. 044-42072702, +91-9600354638, chennai@elysiumtechnologies.com 15, III Floor, SI Towers, Melapudur main Road, Trichy – 620001. 0431-4002234, + 91-9790464324. trichy@elysiumtechnologies.com 577/4, DB Road, RS Puram, Opp to KFC, Coimbatore – 641002 0422- 4377758, +91-9677751577. coimbatore@elysiumtechnologies.com
  • 3. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com Plot No: 4, C Colony, P&T Extension, Perumal puram, Tirunelveli- 627007. 0462-2532104, +919677733255, tirunelveli@elysiumtechnologies.com 1st Floor, A.R.IT Park, Rasi Color Scan Building, Ramanathapuram - 623501. 04567-223225, +919677704922.ramnad@elysiumtechnologies.com 74, 2nd floor, K.V.K Complex,Upstairs Krishna Sweets, Mettur Road, Opp. Bus stand, Erode-638 011. 0424-4030055, +91- 9677748477 erode@elysiumtechnologies.com No: 88, First Floor, S.V.Patel Salai, Pondicherry – 605 001. 0413– 4200640 +91-9677704822 pondy@elysiumtechnologies.com TNHB A-Block, D.no.10, Opp: Hotel Ganesh Near Busstand. Salem – 636007, 0427-4042220, +91-9894444716. salem@elysiumtechnologies.com
  • 4. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com ETPL PDS-001 Adaptive Network Coding for Broadband Wireless Access Networks Abstract: Broadband wireless access (BWA) networks, such as LTE and WiMAX, are inherently lossy due to wireless medium unreliability. Although the Hybrid Automatic Repeat reQuest (HARQ) error- control method recovers from packet loss, it has low transmission efficiency and is unsuitable for delay- sensitive applications. Alternatively, network coding techniques improve the throughput of wireless networks, but incur significant overhead and ignore network constraints such as Medium Access Control (MAC) layer transmission opportunities and physical (PHY) layer channel conditions. The present study provides analysis of Random Network Coding (RNC) and Systematic Network Coding (SNC) decoding probabilities. Based on the analytical results, SNC is selected for developing an adaptive network coding scheme designated as Frame-by-frame Adaptive Systematic Network Coding (FASNC). According to network constraints per frame, FASNC dynamically utilizes either Modified Systematic Network Coding (M-SNC) or Mixed Generation Coding (MGC). An analytical model is developed for evaluating the mean decoding delay and mean goodput of the proposed FASNC scheme. The results derived using this model agree with those obtained from computer simulations. Simulations show that FASNC results in both lower decoding delay and reduced buffer requirements compared to MRNC and N-in-1 ReTX, while also yielding higher goodput than HARQ, MRNC, and N-in-1 ReTX. ETPL PDS-002 Covering Points of Interest with Mobile Sensors Abstract: The coverage of Points of Interest (PoI) is a classical requirement in mobile wireless sensor applications. Optimizing the sensors self-deployment over a PoI while maintaining the connectivity between the sensors and the base station is thus a fundamental issue. This paper addresses the problem of autonomous deployment of mobile sensors that need to cover a predefined PoI with a connectivity constraint. In our algorithm, each sensor moves toward a PoI but has also to maintain the connectivity with a subset of its neighboring sensors that are part of the Relative Neighborhood Graph (RNG). The Relative Neighborhood Graph reduction is chosen so that global connectivity can be provided locally. Our deployment scheme minimizes the number of sensors used for connectivity thus increasing the number of monitoring sensors. Analytical results, simulation results and practical implementation are provided to show the efficiency of our algorithm. ETPL PDS-003 Detection and Localization of Multiple Spoofing Attackers in Wireless Networks Abstract: Wireless spoofing attacks are easy to launch and can significantly impact the performance of networks. Although the identity of a node can be verified through cryptographic authentication, conventional security approaches are not always desirable because of their overhead requirements. In this paper, we propose to use spatial information, a physical property associated with each node, hard to falsify, and not reliant on cryptography, as the basis for 1) detecting spoofing attacks; 2) determining the number of attackers when multiple adversaries masquerading as the same node identity; and 3) localizing multiple adversaries. We propose to use the spatial correlation of received signal strength (RSS) inherited from wireless nodes to detect the spoofing attacks. We then formulate the problem of determining the number of attackers as a multiclass detection problem. Cluster-based mechanisms are developed to determine the number of attackers. When the training data are available, we explore using the Support Vector Machines (SVM) method to further improve the accuracy of determining the number of attackers.
  • 5. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com In addition, we developed an integrated detection and localization system that can localize the positions of multiple attackers. We evaluated our techniques through two testbeds using both an 802.11 (WiFi) network and an 802.15.4 (ZigBee) network in two real office buildings. Our experimental results show that our proposed methods can achieve over 90 percent Hit Rate and Precision when determining the number of attackers. Our localization results using a representative set of algorithms provide strong evidence of high accuracy of localizing multiple adversaries. ETPL PDS-004 Efficient Eager Management of Conflicts for Scalable Hardware Transactional Memory Abstract: The efficient management of conflicts among concurrent transactions constitutes a key aspect that hardware transactional memory (HTM) systems must achieve. Scalable HTM proposals so far inherit the cache-based style of conflict detection typically found in bus-based systems, largely unaware of the interactions between transactions and directory coherence. In this paper, we demonstrate that the traditional approach of detecting conflicts at the private cache levels is inefficient when used in the context of a directory protocol. We find that the use of the directory as a mere router of coherence requests restricts the throughput of conflict detection, and show how it becomes a bottleneck under high contention. This paper proposes a scheme for conflict detection that decouples conflict detection from cache coherence in order to overcome pathological situations that degrade the performance of an eager HTM system. Our scheme places bookkeeping metadata at the directory, introducing it as a separate hardware module that leaves the coherence protocol unmodified. In comparison to a state-of-the-art eager HTM system, our design handles contention more efficiently, minimizes the performance degradation of false positives for signatures of similar hardware cost, and reduces the network traffic generated. ETPL PDS-005 High Performance Resource Allocation Strategies for Computational Economies Abstract: Utility computing models have long been the focus of academic research, and with the recent success of commercial cloud providers, computation and storage is finally being realized as the fifth utility. Computational economies are often proposed as an efficient means of resource allocation, however adoption has been limited due to a lack of performance and high overheads. In this paper, we address the performance limitations of existing economic allocation models by defining strategies to reduce the failure and reallocation rate, increase occupancy and thereby increase the obtainable utilization of the system. The high-performance resource utilization strategies presented can be used by market participants without requiring dramatic changes to the allocation protocol. The strategies considered include overbooking, advanced reservation, just-in-time bidding, and using substitute providers for service delivery. The proposed strategies have been implemented in a distributed metascheduler and evaluated with respect to Grid and cloud deployments. Several diverse synthetic workloads have been used to quantity both the performance benefits and economic implications of these strategies. ETPL PDS-006 Mapping a Jacobi Iterative Solver onto a High-Performance Heterogeneous Computer Abstract: High-performance heterogeneous computers that employ field programmable gate arrays (FPGAs) as computational elements are known as high-performance reconfigurable computers (HPRCs). For floating-point applications, these FPGA-based processors must satisfy a variety of heuristics and rules of thumb to achieve a speedup compared with their software counterparts. By way of a simple sparse matrix Jacobi iterative solver, this paper illustrates some of the issues associated with mapping floating-
  • 6. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com point kernels onto HPRCs. The Jacobi method was chosen based on heuristics developed from earlier research. Furthermore, Jacobi is relatively easy to understand, yet is complex enough to illustrate the mapping issues. This paper is not trying to demonstrate the speedup of a particular application nor is it suggesting that Jacobi is the best way to solve equations. The results demonstrate a nearly threefold wall clock runtime speedup when compared with a software implementation. A formal analysis shows that these results are reasonable. The purpose of this paper is to illuminate the challenging floating-point mapping process while simultaneously showing that such mappings can result in significant speedups. The ideas revealed by research such as this have already been and should continue to be used to facilitate a more automated mapping process. ETPL PDS-007 MIN-MAX: A Counter-Based Algorithm for Regular Expression Matching Abstract: We propose an NFA-based algorithm called MIN-MAX to support matching of regular expressions (regexp) composed of Character Classes with Constraint Repetitions (CCR). MIN-MAX is well suited for massive parallel processing architectures, such as FPGAs, yet it is effective on any other computing platform. In MIN-MAX, each active CCR engine (to implement one CCR term) evaluates input characters, updates (MIN, MAX) counters, and asserts control signals, and all the CCR engines implemented in the FPGA run simultaneously. Unlike traditional designs, (MIN, MAX) counters contain dynamically updated lower and upper bounds of possible matching counts, instead of actual matching counts, so that feasible matching lengths are compactly enclosed in the counter value. The counter-based design can support constraint repetitions of n using O({rm log} n) memory bits rather than that of O(n) in existing solutions. MIN-MAX can resolve character class ambiguity between adjacent CCR terms and support overlapped matching when matching collisions are absent. We developed a set of heuristic rules to assess the absence of collision for CCR-based regexps, and tested them on Snort and SpamAssassin rule sets. The results show that the vast majority of rules are immune from collisions, so that MIN-MAX can cost effectively support overlapped matching. As a bonus, the new architecture also supports fast reconfiguration via ordinary memory writes rather than resynthesis of the entire design, which is critical for time-sensitive regexp deployment scenarios. ETPL PDS-008 Network Traffic Classification Using Correlation Information Abstract: Traffic classification has wide applications in network management, from security monitoring to quality of service measurements. Recent research tends to apply machine learning techniques to flow statistical feature based classification methods. The nearest neighbor (NN)-based method has exhibited superior classification performance. It also has several important advantages, such as no requirements of training procedure, no risk of overfitting of parameters, and naturally being able to handle a huge number of classes. However, the performance of NN classifier can be severely affected if the size of training data is small. In this paper, we propose a novel nonparametric approach for traffic classification, which can improve the classification performance effectively by incorporating correlated information into the classification process. We analyze the new classification approach and its performance benefit from both theoretical and empirical perspectives. A large number of experiments are carried out on two real-world traffic data sets to validate the proposed approach. The results show the traffic classification performance can be improved significantly even under the extreme difficult circumstance of very few training samples.
  • 7. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com ETPL PDS-009 Online Real-Time Task Scheduling in Heterogeneous Multicore System-on-a-Chip Abstract: Online task scheduling in heterogeneous multicore system-on-a-chip is a challenging problem due to precedence constraints and nonpreemptive task execution in the synergistic processor core. This study first proposes an online heterogeneous dual-core scheduling framework for dynamic workloads with real-time constraints. The general purpose processor core and the synergistic processor core are dedicated to separate schedulers with different scheduling policies, and precedence constraints among tasks are dealt with through interaction between the two schedulers. This framework is also configurable for low priority inversion and high system utilization. We then extend this framework to heterogeneous multicore systems with well-known dispatcher schemas. This paper presents a real case study to show the practicability of the proposed methodology, and presents a series of extensive simulations to obtain comparison studies using different workloads and scheduling algorithms. ETPL PDS-010 Scalable and Secure Sharing of Personal Health Records in Cloud Computing Using Attribute-Based Encryption, Abstract: Personal health record (PHR) is an emerging patient-centric model of health information exchange, which is often outsourced to be stored at a third party, such as cloud providers. However, there have been wide privacy concerns as personal health information could be exposed to those third party servers and to unauthorized parties. To assure the patients' control over access to their own PHRs, it is a promising method to encrypt the PHRs before outsourcing. Yet, issues such as risks of privacy exposure, scalability in key management, flexible access, and efficient user revocation, have remained the most important challenges toward achieving fine-grained, cryptographically enforced data access control. In this paper, we propose a novel patient-centric framework and a suite of mechanisms for data access control to PHRs stored in semitrusted servers. To achieve fine-grained and scalable data access control for PHRs, we leverage attribute-based encryption (ABE) techniques to encrypt each patient's PHR file. Different from previous works in secure data outsourcing, we focus on the multiple data owner scenario, and divide the users in the PHR system into multiple security domains that greatly reduces the key management complexity for owners and users. A high degree of patient privacy is guaranteed simultaneously by exploiting multiauthority ABE. Our scheme also enables dynamic modification of access policies or file attributes, supports efficient on-demand user/attribute revocation and break-glass access under emergency scenarios. Extensive analytical and experimental results are presented which show the security, scalability, and efficiency of our proposed scheme. ETPL PDS-011 Strategies for Energy-Efficient Resource Management of Hybrid Programming Models Abstract: Many scientific applications are programmed using hybrid programming models that use both message passing and shared memory, due to the increasing prevalence of large-scale systems with multicore, multisocket nodes. Previous work has shown that energy efficiency can be improved using software-controlled execution schemes that consider both the programming model and the power-aware execution capabilities of the system. However, such approaches have focused on identifying optimal resource utilization for one programming model, either shared memory or message passing, in isolation. The potential solution space, thus the challenge, increases substantially when optimizing hybrid models since the possible resource configurations increase exponentially. Nonetheless, with the accelerating adoption of hybrid programming models, we increasingly need improved energy efficiency in hybrid
  • 8. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com parallel applications on large-scale systems. In this work, we present new software-controlled execution schemes that consider the effects of dynamic concurrency throttling (DCT) and dynamic voltage and frequency scaling (DVFS) in the context of hybrid programming models. Specifically, we present predictive models and novel algorithms based on statistical analysis that anticipate application power and time requirements under different concurrency and frequency configurations. We apply our models and methods to the NPB MZ benchmarks and selected applications from the ASC Sequoia codes. Overall, we achieve substantial energy savings (8.74 percent on average and up to 13.8 percent) with some performance gain (up to 7.5 percent) or negligible performance loss. ETPL PDS-012 Supporting HPC Analytics Applications with Access Patterns Using Data Restructuring and Data-Centric Scheduling Techniques in MapReduce Abstract: Current High Performance Computing (HPC) applications have seen an explosive growth in the size of data in recent years. Many application scientists have initiated efforts to integrate data-intensive computing into computational-intensive HPC facilities, particularly for data analytics. We have observed several scientific applications which must migrate their data from an HPC storage system to a data- intensive one for analytics. There is a gap between the data semantics of HPC storage and data-intensive system, hence, once migrated, the data must be further refined and reorganized. This reorganization must be performed before existing data-intensive tools such as MapReduce can be used to analyze data. This reorganization requires at least two complete scans through the data set and then at least one MapReduce program to prepare the data before analyzing it. Running multiple MapReduce phases causes significant overhead for the application, in the form of excessive I/O operations. That is for every MapReduce phase, a distributed read and write operation on the file system must be performed. Our contribution is to develop a MapReduce-based framework for HPC analytics to eliminate the multiple scans and also reduce the number of data preprocessing MapReduce programs. We also implement a data-centric scheduler to further improve the performance of HPC analytics MapReduce programs by maintaining the data locality. We have added additional expressiveness to the MapReduce language to allow application scientists to specify the logical semantics of their data such that 1) the data can be analyzed without running multiple data preprocessing MapReduce programs, and 2) the data can be simultaneously reorganized as it is migrated to the data-intensive file system. Using our augmented Map-Reduce system, MapReduce with Access Patterns (MRAP), we have demonstrated up to 33 percent throughput improvement in one real application, and up to 70 percent in an I/O kernel of another appl- cation. Our results for scheduling show up to 49 percent improvement for an I/O kernel of a prevalent HPC analysis application. ETPL PDS-013 Thermal and Energy Management of High-Performance Multicores: Distributed and Self-Calibrating Model-Predictive Controller Abstract: As result of technology scaling, single-chip multicore power density increases and its spatial and temporal workload variation leads to temperature hot-spots, which may cause nonuniform ageing and accelerated chip failure. These critical issues can be tackled by closed-loop thermal and reliability management policies. Model predictive controllers (MPC) outperform classic feedback controllers since they are capable of minimizing performance loss while enforcing safe working temperature. Unfortunately, MPC controllers rely on a priori knowledge of thermal models and their complexity exponentially grows with the number of controlled cores. In this paper, we present a scalable, fully distributed, energy-aware thermal management solution for single-chip multicore platforms. The model- predictive controller complexity is drastically reduced by splitting it in a set of simpler interacting controllers, each one allocated to a core in the system. Locally, each node selects the optimal frequency to
  • 9. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com meet temperature constraints while minimizing the performance penalty and system energy. Comparable performance with state-of-the-art MPC controllers is achieved by letting controllers exchange a limited amount of information at runtime on a neighborhood basis. In addition, we address model uncertainty by supporting learning of the thermal model with a novel distributed self-calibration approach that matches well the controller architecture. ETPL PDS-014 Topology Abstraction Service for IP-VPNs Abstract: VPN service providers (VSP) and IP-VPN customers have traditionally maintained service demarcation boundaries between their routing and signaling entities. This has resulted in the VPNs viewing the VSP network as an opaque entity and therefore limiting any meaningful interaction between the VSP and the VPNs. The purpose of this research is to address this issue by enabling a VSP to share its core topology information with the VPNs through a novel topology abstraction (TA) service which is both practical and scalable in the context of managed IP-VPNs. TA service provides tunable visibility of state of the VSP's network leading to better VPN performance. A key challenge of the TA service is to generate TA with relevant network resource information for each VPN in an accurate and fair manner. We develop three decentralized schemes for generating TAs with different performance characteristics. These decentralized schemes achieve improved call performance, fair resource sharing for VPNs, and higher network utilization for the VSP. We validate the idea of the VPN TA service and study the performance of the proposed techniques using various simulation scenarios over several topologies. ETPL PDS-015 A Secure Payment Scheme with Low Communication and Processing Overhead for Multihop Wireless Networks Abstract: We propose RACE, a report-based payment scheme for multihop wireless networks to stimulate node cooperation, regulate packet transmission, and enforce fairness. The nodes submit lightweight payment reports (instead of receipts) to the accounting center (AC) and temporarily store undeniable security tokens called Evidences. The reports contain the alleged charges and rewards without security proofs, e.g., signatures. The AC can verify the payment by investigating the consistency of the reports, and clear the payment of the fair reports with almost no processing overhead or cryptographic operations. For cheating reports, the Evidences are requested to identify and evict the cheating nodes that submit incorrect reports. Instead of requesting the Evidences from all the nodes participating in the cheating reports, RACE can identify the cheating nodes with requesting few Evidences. Moreover, Evidence aggregation technique is used to reduce the Evidences' storage area. Our analytical and simulation results demonstrate that RACE requires much less communication and processing overhead than the existing receipt-based schemes with acceptable payment clearance delay and storage area. This is essential for the effective implementation of a payment scheme because it uses micropayment and the overhead cost should be much less than the payment value. Moreover, RACE can secure the payment and precisely identify the cheating nodes without false accusations. ETPL PDS-016 Analysis of Distance-Based Location Management in Wireless Communication Networks Abstract: The performance of dynamic distance-based location management schemes (DBLMS) in
  • 10. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com wireless communication networks is analyzed. A Markov chain is developed as a mobility model to describe the movement of a mobile terminal in 2D cellular structures. The paging area residence time is characterized for arbitrary cell residence time by using the Markov chain. The expected number of paging area boundary crossings and the cost of the distance-based location update method are analyzed by using the classical renewal theory for two different call handling models. For the call plus location update model, two cases are considered. In the first case, the intercall time has an arbitrary distribution and the cell residence time has an exponential distribution. In the second case, the intercall time has a hyper- Erlang distribution and the cell residence time has an arbitrary distribution. For the call without location update model, both intercall time and cell residence time can have arbitrary distributions. Our analysis makes it possible to find the optimal distance threshold that minimizes the total cost of location management in a DBLMS. ETPL PDS-017 Cluster-Based Certificate Revocation with Vindication Capability for Mobile Ad Hoc Networks, Abstract: Mobile ad hoc networks (MANETs) have attracted much attention due to their mobility and ease of deployment. However, the wireless and dynamic natures render them more vulnerable to various types of security attacks than the wired networks. The major challenge is to guarantee secure network services. To meet this challenge, certificate revocation is an important integral component to secure network communications. In this paper, we focus on the issue of certificate revocation to isolate attackers from further participating in network activities. For quick and accurate certificate revocation, we propose the Cluster-based Certificate Revocation with Vindication Capability (CCRVC) scheme. In particular, to improve the reliability of the scheme, we recover the warned nodes to take part in the certificate revocation process; to enhance the accuracy, we propose the threshold-based mechanism to assess and vindicate warned nodes as legitimate nodes or not, before recovering them. The performances of our scheme are evaluated by both numerical and simulation analysis. Extensive results demonstrate that the proposed certificate revocation scheme is effective and efficient to guarantee secure communications in mobile ad hoc networks. ETPL PDS-018 Coloring-Based Inter-WBAN Scheduling for Mobile Wireless Body Area Networks Abstract: In this study, random incomplete coloring (RIC) with low time-complexity and high spatial reuse is proposed to overcome in-between wireless-body-area-networks (WBAN) interference, which can cause serious throughput degradation and energy waste. Interference-avoidance scheduling of wireless networks can be modeled as a problem of graph coloring. For instance, high spatial-reuse scheduling for a dense sensor network is mapped to high spatial-reuse coloring; fast convergence scheduling for a mobile ad hoc network (MANET) is mapped to low time-complexity coloring. However, for a dense and mobile WBAN, inter-WBAN scheduling (IWS) should simultaneously satisfy both of the following requirements: 1) high spatial-reuse and 2) fast convergence, which are tradeoffs in conventional coloring. By relaxing the coloring rule, the proposed distributed coloring algorithm RIC avoids this tradeoff and satisfies both requirements. Simulation results verify that the proposed coloring algorithm effectively overcomes inter-WBAN interference and invariably supports higher system throughput in various mobile WBAN scenarios compared to conventional colorings. ETPL PDS-019 Cross-Layer Design of Congestion Control and Power Control in Fast-Fading Wireless Networks
  • 11. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com Abstract: We study the cross-layer design of congestion control and power allocation with outage constraint in an interference-limited multihop wireless networks. Using a complete-convexification method, we first propose a message-passing distributed algorithm that can attain the global optimal source rate and link power allocation. Despite the attractiveness of its optimality, this algorithm requires larger message size than that of the conventional scheme, which increases network overheads. Using the bounds on outage probability, we map the outage constraint to an SIR constraint and continue developing a practical near-optimal distributed algorithm requiring only local SIR measurement at link receivers to limit the size of the message. Due to the complicated complete-convexification method, however the congestion control of both algorithms no longer preserves the existing TCP stack. To take into account the TCP stack preserving property, we propose the third algorithm using a successive convex approximation method to iteratively transform the original nonconvex problem into approximated convex problems, then the global optimal solution can converge distributively with message-passing. Thanks to the tightness of the bounds and successive approximations, numerical results show that the gap between three algorithms is almost indistinguishable. Despite the same type of the complete-convexification method, the numerical comparison shows that the second near-optimal scheme has a faster convergence rate than that of the first optimal one, which make the near-optimal scheme more favorable and applicable in practice. Meanwhile, the third optimal scheme also has a faster convergence rate than that of a previous work using logarithm successive approximation method. ETPL PDS-020 Distributed Data Replenishment Abstract: We propose a distributed data replenishment mechanism for some distributed peer-to-peer- based storage systems that automates the process of maintaining a sufficient level of data redundancy to ensure the availability of data in presence of peer departures and failures. The dynamics of peers entering and leaving the network are modeled as a stochastic process. A novel analytical time-backward technique is proposed to bound the expected time for a piece of data to remain in P2P systems. Both theoretical and simulation results are in agreement, indicating that the data replenishment via random linear network coding (RLNC) outperforms other popular strategies. Specifically, we show that the expected time for a piece of data to remain in a P2P system, the longer the better, is exponential in the number of peers used to store the data for the RLNC-based strategy, while they are quadratic for other strategies. ETPL PDS-021 Distributed k-Core Decomposition Abstract: Several novel metrics have been proposed in recent literature in order to study the relative importance of nodes in complex networks. Among those, k-coreness has found a number of applications in areas as diverse as sociology, proteinomics, graph visualization, and distributed system analysis and design. This paper proposes new distributed algorithms for the computation of the k-coreness of a network, a process also known as k-core decomposition. This technique 1) allows the decomposition, over a set of connected machines, of very large graphs, when size does not allow storing and processing them on a single host, and 2) enables the runtime computation of k-cores in “live” distributed systems. Lower bounds on the algorithms complexity are given, and an exhaustive experimental analysis on real- world data sets is provided.
  • 12. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com ETPL PDS-022 Dynamic Coverage of Mobile Sensor Networks Abstract: We study the dynamic aspects of the coverage of a mobile sensor network resulting from continuous movement of sensors. As sensors move around, initially uncovered locations may be covered at a later time, and intruders that might never be detected in a stationary sensor network can now be detected by moving sensors. However, this improvement in coverage is achieved at the cost that a location is covered only part of the time, alternating between covered and not covered. We characterize area coverage at specific time instants and during time intervals, as well as the time durations that a location is covered and uncovered. We further consider the time it takes to detect a randomly located intruder and prove that the detection time is exponentially distributed with parameter 2λrv̅ s where λ represents the sensor density , r represents the sensor 's sensing range , and v̅ s denotes the average sensor speed. For mobile intruders, we take a game theoretic approach and derive optimal mobility strategies for both sensors and intruders. We prove that the optimal sensor strategy is to choose their directions uniformly at random between (0, 2π). The optimal intruder strategy is to remain stationary. This solution represents a mixed strategy which is a Nash equilibrium of the zero-sum game between mobile sensors and intruders. ETPL PDS-023 Exploiting Ubiquitous Data Collection for Mobile Users in Wireless Sensor Networks Abstract: We study the ubiquitous data collection for mobile users in wireless sensor networks. People with handheld devices can easily interact with the network and collect data. We propose a novel approach for mobile users to collect the network-wide data. The routing structure of data collection is additively updated with the movement of the mobile user. With this approach, we only perform a limited modification to update the routing structure while the routing performance is bounded and controlled compared to the optimal performance. The proposed protocol is easy to implement. Our analysis shows that the proposed approach is scalable in maintenance overheads, performs efficiently in the routing performance, and provides continuous data delivery during the user movement. We implement the proposed protocol in a prototype system and test its feasibility and applicability by a 49-node testbed. We further conduct extensive simulations to examine the efficiency and scalability of our protocol with varied network settings. ETPL PDS-024 Fast Channel Zapping with Destination-Oriented Multicast for IP Video Delivery Abstract: Channel zapping time is a critical quality of experience (QoE) metric for IP-based video delivery systems such as IPTV. An interesting zapping acceleration scheme based on time-shifted subchannels (TSS) was recently proposed, which can ensure a zapping delay bound as well as maintain the picture quality during zapping. However, the behaviors of the TSS-based scheme have not been fully studied yet. Furthermore, the existing TSS-based implementation adopts the traditional IP multicast, which is not scalable for a large-scale distributed system. Corresponding to such issues, this paper makes contributions in two aspects. First, we resort to theoretical analysis to understand the fundamental properties of the TSS-based service model. We show that there exists an optimal subchannel data rate which minimizes the redundant traffic transmitted over subchannels. Moreover, we reveal a start-up effect, where the existing operation pattern in the TSS-based model could violate the zapping delay bound. With a solution proposed to resolve the start-up effect, we rigorously prove that a zapping delay
  • 13. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com bound equal to the subchannel time shift is guaranteed by the updated TSS-based model. Second, we propose a destination-oriented-multicast (DOM) assisted zapping acceleration (DAZA) scheme for a scalable TSS-based implementation, where a subscriber can seamlessly migrate from a subchannel to the main channel after zapping without any control message exchange over the network. Moreover, the subchannel selection in DAZA is independent of the zapping request signaling delay, resulting in improved robustness and reduced messaging overhead in a distributed environment. We implement DAZA in ns-2 and multicast an MPEG-4 video stream over a practical network topology. Extensive simulation results are presented to demonstrate the validity of our analysis and DAZA scheme. ETPL PDS-025 Gaussian versus Uniform Distribution for Intrusion Detection in Wireless Sensor Networks Abstract: In a Wireless Sensor Network (WSN), intrusion detection is of significant importance in many applications in detecting malicious or unexpected intruder(s). The intruder can be an enemy in a battlefield, or a malicious moving object in the area of interest. With uniform sensor deployment, the detection probability is the same for any point in a WSN. However, some applications may require different degrees of detection probability at different locations. For example, an intrusion detection application may need improved detection probability around important entities. Gaussian-distributed WSNs can provide differentiated detection capabilities at different locations but related work is limited. This paper analyzes the problem of intrusion detection in a Gaussian-distributed WSN by characterizing the detection probability with respect to the application requirements and the network parameters under both single-sensing detection and multiple-sensing detection scenarios. Effects of different network parameters on the detection probability are examined in detail. Furthermore, performance of Gaussian- distributed WSNs is compared with uniformly distributed WSNs. This work allows us to analytically formulate detection probability in a random WSN and provides guidelines in selecting an appropriate deployment strategy and determining critical network parameters. ETPL PDS-026 IDM: An Indirect Dissemination Mechanism for Spatial Voice Interaction in Networked Virtual Environments Abstract: One type of Peer-to-Peer (P2P) live streaming has not yet been significantly investigated, namely topologies that provide many-to-many, interactive connectivity. Exemplar applications of such P2P systems include spatial audio services for networked virtual environments (NVEs) and distributed online games. Numerous challenging problems have to be overcome-among them providing low delay, resilience to churn, effective load balancing, and rapid convergence-in such dynamic environments. We propose a novel P2P overlay dissemination mechanism, termed IDM, that can satisfy such demanding real-time requirements. Our target application is to provide spatialized voice support in multiplayer NVEs, where each bandwidth constrained peer potentially communicates with all other peers within its area-of-interest (AoI). With IDM each peer maintains a set of partners, termed helpers, which may act as stream forwarders. We prove analytically that the system reachability is maximized when the loads of helpers are balanced proportionally to their network capacities. We then propose a game-theoretic algorithm that balances the loads of the peers in a fully distributed manner. Of practical importance in dynamic systems, we prove that our algorithm converges to an approximately balanced state from any prior state in rapid O(log log n) time, where n is the number of users. We further evaluate our technique with simulations and show that it can achieve near optimal system reachability and satisfy the tight latency constraints of interactive audio under conditions of churn, avatar mobility, and heterogeneous user access network bandwidth.
  • 14. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com ETPL PDS-027 In-Network Estimation with Delay Constraints in Wireless Sensor Networks Abstract: The use of wireless sensor networks (WSNs) for closing the loops between the cyberspace and the physical processes is more attractive and promising for future control systems. For some real-time control applications, controllers need to accurately estimate the process state within rigid delay constraints. In this paper, we propose a novel in-network estimation approach for state estimation with delay constraints in multihop WSNs. For accurately estimating a process state as well as satisfying rigid delay constraints, we address the problem through jointly designing in-network estimation operations and an aggregation scheduling algorithm. Our in-network estimation operation performed at relays not only optimally fuses the estimates obtained from the different sensors but also predicts the upper stream sensors' estimates which cannot be aggregated to the sink before deadlines. Our estimate aggregation scheduling algorithm, which is interference free, is able to aggregate as much estimate information as possible from the network to the sink within delay constraints. We proved the unbiasedness of in-network estimation, and theoretically analyzed the optimality of our approach. Our simulation results corroborate our theoretical results and show that our in-network estimation approach can obtain significant estimation accuracy gain under different network settings. ETPL PDS-028 IP-Geolocation Mapping for Moderately Connected Internet Regions Abstract: Most IP-geolocation mapping schemes [14], [16], [17], [18] take delay-measurement approach, based on the assumption of a strong correlation between networking delay and geographical distance between the targeted client and the landmarks. In this paper, however, we investigate a large region of moderately connected Internet and find the delay-distance correlation is weak. But we discover a more probable rule - with high probability the shortest delay comes from the closest distance. Based on this closest-shortest rule, we develop a simple and novel IP-geolocation mapping scheme for moderately connected Internet regions, called GeoGet. In GeoGet, we take a large number of webservers as passive landmarks and map a targeted client to the geolocation of the landmark that has the shortest delay. We further use JavaScript at targeted clients to generate HTTP/Get probing for delay measurement. To control the measurement cost, we adopt a multistep probing method to refine the geolocation of a targeted client, finally to city level. The evaluation results show that when probing about 100 landmarks, GeoGet correctly maps 35.4 percent clients to city level, which outperforms current schemes such as GeoLim [16] and GeoPing [14] by 270 and 239 percent, respectively, and the median error distance in GeoGet is around 120 km, outperforming GeoLim and GeoPing by 37 and 70 percent, respectively. ETPL PDS-029 Microarchitecture of a Coarse-Grain Out-of-Order Superscalar Processor Abstract: We explore the design, implementation, and evaluation of a coarse-grain superscalar processor in the context of the microarchitecture of the Control Processor (CP) of the Multilevel Computing Architecture (MLCA), a novel architecture targeted for multimedia multicore systems. The MLCA augments a traditional multicore architecture (called the lower level) with a CP (called the top-level), which automatically extracts parallelism among coarse-grain units of computation (tasks), synchronizes these tasks and schedules them for execution on processors. It does so in a fashion similar to how instruction-level parallelism is extracted by superscalar processors, i.e., using register renaming, Out-of- Order Execution (OoOE) and scheduling. The coarse-grain nature of tasks imposes challenging
  • 15. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com constraints on the direct use of these techniques, but also offers opportunities for simpler designs. We analyze the impact of these constraints and opportunities and present novel microarchitectural mechanisms for coarse-grain superscalar execution, including register renaming, task queue, dynamic out- of-order scheduling and task-issue. We design an MLCA system around our CP microarchitecture and implement it on an FPGA. We evaluate the system using multimedia applications and show good scalability for eight processors, limited by the memory bandwidth of the FPGA platform. Furthermore, we show that the CP introduces little overhead in terms of resource usage. Finally, we show scalability beyond eight processors using cycle-accurate RTL-level simulation with an idealized memory subsystem. We demonstrate that the CP poses no performance bottlenecks and is scalable up to 32 processors. ETPL PDS-030 Mobi-Sync: Efficient Time Synchronization for Mobile Underwater Sensor Networks Abstract: Time synchronization is an important requirement for many services provided by distributed networks. A lot of time synchronization protocols have been proposed for terrestrial Wireless Sensor Networks (WSNs). However, none of them can be directly applied to Underwater Sensor Networks (UWSNs). A synchronization algorithm for UWSNs must consider additional factors such as long propagation delays from the use of acoustic communication and sensor node mobility. These unique challenges make the accuracy of synchronization procedures for UWSNs even more critical. Time synchronization solutions specifically designed for UWSNs are needed to satisfy these new requirements. This paper proposes Mobi-Sync, a novel time synchronization scheme for mobile underwater sensor networks. Mobi-Sync distinguishes itself from previous approaches for terrestrial WSN by considering spatial correlation among the mobility patterns of neighboring UWSNs nodes. This enables Mobi-Sync to accurately estimate the long dynamic propagation delays. Simulation results show that Mobi-Sync outperforms existing schemes in both accuracy and energy efficiency. ETPL PDS-031 Autogeneration and Autotuning of 3D Stencil Codes on Homogeneous and Heterogeneous GPU Clusters Abstract: This paper develops and evaluates search and optimization techniques for autotuning 3D stencil (nearest neighbor) computations on GPUs. Observations indicate that parameter tuning is necessary for heterogeneous GPUs to achieve optimal performance with respect to a search space. Our proposed framework takes a most concise specification of stencil behavior from the user as a single formula, autogenerates tunable code from it, systematically searches for the best configuration and generates the code with optimal parameter configurations for different GPUs. This autotuning approach guarantees adaptive performance for different generations of GPUs while greatly enhancing programmer productivity. Experimental results show that the delivered floating point performance is very close to previous handcrafted work and outperforms other autotuned stencil codes by a large margin. Furthermore, heterogeneous GPU clusters are shown to exhibit the highest performance for dissimilar tuning parameters leveraging proportional partitioning relative to single-GPU performance. ETPL PDS-032 An Iterative Divide-and-Merge-Based Approach for Solving Large-Scale Least Squares Problems Abstract: Singular value decomposition (SVD) is a popular decomposition method for solving least squares estimation (LSE) problems. However, for large data sets, applying SVD directly on the coefficient matrix is very time consuming and memory demanding in obtaining least squares solutions. In
  • 16. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com this paper, we propose an iterative divide-and-merge-based estimator for solving large-scale LSE problems. Iteratively, the LSE problem to be solved is processed and transformed to equivalent but smaller LSE problems. In each iteration, the input matrices are subdivided into a set of small submatrices. The submatrices are decomposed by SVD, respectively, and the results are merged, and the resulting matrices become the input of the next iteration. The process is iterated until the resulting matrices are small enough which can then be solved directly and efficiently by SVD. The number of iterations required is determined dynamically according to the size of the input data set. As a result, the requirements in time and space for finding least squares solutions are greatly improved. Furthermore, the decomposition and merging of the submatrices in each iteration can be independently done in parallel. The idea can be easily implemented in MapReduce and experimental results show that the proposed approach can solve large-scale LSE problems effectively. ETPL PDS-033 Buffer Management for Aggregated Streaming Data with Packet Dependencies Abstract: In many applications, the traffic traversing the network has interpacket dependencies due to application-level encoding schemes. For some applications, e.g., multimedia streaming, dropping a single packet may render useless the delivery of a whole sequence. In such environments, the algorithm used to decide which packet to drop in case of buffer overflows must be carefully designed, to avoid goodput degradation. We present a model that captures such interpacket dependencies, and design algorithms for performing packet discard. Traffic consists of an aggregation of multiple streams, each of which consists of a sequence of interdependent packets. We provide two guidelines for designing buffer management algorithms, and demonstrate their effectiveness. We devise an algorithm according to these guidelines and evaluate its performance analytically, using competitive analysis. We also perform a simulation study that shows that the performance of our algorithm is within a small fraction of the performance of the best known offline algorithm. ETPL PDS-034 Design and Performance Evaluation of Overhearing-Aided Data Caching in Wireless Ad Hoc Networks Abstract: Wireless ad hoc network is a promising networking technology to provide users with Internet access anywhere anytime. To cope with resource constraints of wireless ad hoc networks, data caching is widely used to efficiently reduce data access cost. In this paper, we propose an efficient data caching algorithm which makes use of the overhearing property of wireless communication to improve caching performance. Due to the broadcast nature of wireless links, a packet can be overheard by a node within the transmission range of the transmitter, even if the node is not the intended target. Our proposed algorithm explores the overheard information, including data request and data reply, to optimize cache placement and cache discovery. To the best of our knowledge, this is the first work that considers the overhearing property of wireless communications in data caching. The simulation results show that, compared with one representative algorithm and a naive overhearing algorithm, our proposed algorithm can significantly reduce both message cost and access delay. ETPL PDS-035 Dynamic Optimization of Multiattribute Resource Allocation in Self-Organizing Clouds Abstract: By leveraging virtual machine (VM) technology which provides performance and fault isolation, cloud resources can be provisioned on demand in a fine grained, multiplexed manner rather than
  • 17. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com in monolithic pieces. By integrating volunteer computing into cloud architectures, we envision a gigantic self-organizing cloud (SOC) being formed to reap the huge potential of untapped commodity computing power over the Internet. Toward this new architecture where each participant may autonomously act as both resource consumer and provider, we propose a fully distributed, VM-multiplexing resource allocation scheme to manage decentralized resources. Our approach not only achieves maximized resource utilization using the proportional share model (PSM), but also delivers provably and adaptively optimal execution efficiency. We also design a novel multiattribute range query protocol for locating qualified nodes. Contrary to existing solutions which often generate bulky messages per request, our protocol produces only one lightweight query message per task on the Content Addressable Network (CAN). It works effectively to find for each task its qualified resources under a randomized policy that mitigates the contention among requesters. We show the SOC with our optimized algorithms can make an improvement by 15-60 percent in system throughput than a P2P Grid model. Our solution also exhibits fairly high adaptability in a dynamic node-churning environment. ETPL PDS-036 Enabling Efficient WiFi-Based Vehicular Content Distribution Abstract: For better road safety and driving experience, content distribution for vehicle users through roadside Access Points (APs) becomes an important and promising complement to 3G and other cellular networks. In this paper, we introduce Cooperative Content Distribution System for Vehicles (CCDSV) which operates upon a network of infrastructure APs to collaboratively distribute contents to moving vehicles. CCDSV solves several important issues in a practical system, like the robustness to mobility prediction errors, limited resources of APs and the shared content distribution. Our system organizes the cooperative APs into a novel structure, namely, the contact map which is based on the vehicular contact patterns observed by APs. To fully utilize the wireless bandwidth provided by APs, we propose a representative-based prefetching mechanism, in which a set of representative APs are carefully selected and then share their prefetched data with others. The selection process explicitly takes into account the AP's storage capacity, storage status, inter-APs bandwidth and traffic loads on the backhaul links. We apply network coding in CCDSV to augment the distribution of shared contents. The selection of shared contents to be prefetched on an AP is based on the storage status of neighboring APs in the contact map in order to increase the information utility of each prefetched data piece. Through extensive simulations, CCDSV proves its effectiveness in vehicular content distribution under various scenarios ETPL PDS-037 Flexible Symmetrical Global-Snapshot Algorithms for Large-Scale Distributed Systems Abstract: Most existing global-snapshot algorithms in distributed systems use control messages to coordinate the construction of a global snapshot among all processes. Since these algorithms typically assume the underlying logical overlay topology is fully connected, the number of control messages exchanged among the whole processes is proportional to the square of number of processes, resulting in higher possibility of network congestion. Hence, such algorithms are neither efficient nor scalable for a large-scale distributed system composed of a huge number of processes. Recently, some efforts have been presented to significantly reduce the number of control messages, but doing so incurs higher response time instead. In this paper, we propose an efficient global-snapshot algorithm able to let every process finish its local snapshot in a given number of rounds. Particularly, such an algorithm allows a tradeoff between the response time and the message complexity. Moreover, our global-snapshot algorithm is symmetrical in the sense that identical steps are executed by every process. This means that our algorithm
  • 18. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com is able to achieve better workload balance and less network congestion. Most importantly, based on our framework, we demonstrate that the minimum number of control messages required by a symmetrical global-snapshot algorithm is Ω(N log N), where N is the number of processes. Finally, we also assume non-FIFO channels. ETPL PDS-038 Hardware Signature Designs to Deal with Asymmetry in Transactional Data Sets Abstract: Transactional Memory (TM) systems must track memory accesses made by concurrent transactions in order to detect conflicts. Many TM implementations use signatures for this purpose, which summarize reads and writes in fixed-size bit registers at the cost of false positives (detection of nonexisting conflicts). Signatures are commonly implemented as two separate same-sized Bloom filters, one for reads and other for writes. In contrast, transactions frequently exhibit read and write sets of uneven cardinality. This mismatch between data sets and filter storage introduces inefficiencies in the use of signatures that have some impact on performance. This paper presents different signature designs as alternatives to the common scheme to deal with the asymmetry in transactional data sets in an effective way. Basically, we analyze two classes of new signatures, called multiset and reconfigurable asymmetric signatures. The first class uses only one Bloom filter to track both read and write sets, while the second class uses Bloom filters of configurable size for reads and writes. The main focus of this paper is a thorough study of these alternative signature designs, including a statistical analysis of false positives and an experimental evaluation, providing performance results and hardware area, time and energy requirements. ETPL PDS-039 Improve Efficiency and Reliability in Single-Hop WSNs with Transmit-Only Nodes Abstract: Wireless Sensor Networks (WSNs) will play a significant role at the “edge” of the future “Internet of Things.” In particular, WSNs with transmit-only nodes are attracting more attention due to their advantages in supporting applications requiring dense and long-lasting deployment at a very low cost and energy consumption. However, the lack of receivers in transmit-only nodes renders most existing MAC protocols invalid. Based on our previous study on WSNs with pure transmit-only nodes, this work proposes a simple, yet cost effective and powerful single-hop hybrid WSN cluster architecture that contains not only transmit-only nodes but also standard nodes (with transceivers). Along with the hybrid architecture, this work also proposes a new MAC layer protocol framework called Robust Asynchronous Resource Estimation (RARE) that efficiently and reliably manages the densely deployed single-hop hybrid cluster in a self-organized fashion. Through analysis and extensive simulations, the proposed framework is shown to meet or exceed the needs of most applications in terms of the data delivery probability, QoS differentiation, system capacity, energy consumption, and reliability. To the best of our knowledge, this work is the first that brings reliable scheduling to WSNs containing both nonsynchronized transmit-only nodes and standard nodes. ETPL PDS-040 Improving the Reliability of MPI Libraries via Message Flow Checking Abstract: Distributed processing through ad hoc and sensor networks is having a major impact on scale and applications of computing. The creation of new cyber-physical services based on wireless sensor devices relies heavily on how well communication protocols can be adapted and optimized to meet
  • 19. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com quality constraints under limited energy resources. The IEEE 802.15.4 medium access control protocol for wireless sensor networks can support energy efficient, reliable, and timely packet transmission by a parallel and distributed tuning of the medium access control parameters. Such a tuning is difficult, because simple and accurate models of the influence of these parameters on the probability of successful packet transmission, packet delay, and energy consumption are not available. Moreover, it is not clear how to adapt the parameters to the changes of the network and traffic regimes by algorithms that can run on resource-constrained devices. In this paper, a Markov chain is proposed to model these relations by simple expressions without giving up the accuracy. In contrast to previous work, the presence of limited number of retransmissions, acknowledgments, unsaturated traffic, packet size, and packet copying delay due to hardware limitations is accounted for. The model is then used to derive a distributed adaptive algorithm for minimizing the power consumption while guaranteeing a given successful packet reception probability and delay constraints in the packet transmission. The algorithm does not require any modification of the IEEE 802.15.4 medium access control and can be easily implemented on network devices. The algorithm has been experimentally implemented and evaluated on a testbed with off-the- shelf wireless sensor devices. Experimental results show that the analysis is accurate, that the proposed algorithm satisfies reliability and delay constraints, and that the approach reduces the energy consumption of the network under both stationary and transient conditions. Specif- cally, even if the number of devices and traffic configuration change sharply, the proposed parallel and distributed algorithm allows the system to operate close to its optimal state by estimating the busy channel and channel access probabilities. Furthermore, results indicate that the protocol reacts promptly to errors in the estimation of the number of devices and in the traffic load that can appear due to device mobility. It is also shown that the effect of imperfect channel and carrier sensing on system performance heavily depends on the traffic load and limited range of the protocol parameters. ETPL PDS-041 Optimal Client-Server Assignment for Internet Distributed Systems Abstract: We investigate an underlying mathematical model and algorithms for optimizing the performance of a class of distributed systems over the Internet. Such a system consists of a large number of clients who communicate with each other indirectly via a number of intermediate servers. Optimizing the overall performance of such a system then can be formulated as a client-server assignment problem whose aim is to assign the clients to the servers in such a way to satisfy some prespecified requirements on the communication cost and load balancing. We show that 1) the total communication load and load balancing are two opposing metrics, and consequently, their tradeoff is inherent in this class of distributed systems; 2) in general, finding the optimal client-server assignment for some prespecified requirements on the total load and load balancing is NP-hard, and therefore; 3) we propose a heuristic via relaxed convex optimization for finding the approximate solution. Our simulation results indicate that the proposed algorithm produces superior performance than other heuristics, including the popular Normalized Cuts algorithm. ETPL PDS-042 Resilient Self-Compressive Monitoring for Large-Scale Hosting Infrastructures Abstract: Large-scale hosting infrastructures have become the fundamental platforms for many real-world systems such as cloud computing infrastructures, enterprise data centers, and massive data processing systems. However, it is a challenging task to achieve both scalability and high precision while monitoring a large number of intranode and internode attributes (e.g., CPU usage, free memory, free disk, internode network delay). In this paper, we present the design and implementation of a Resilient self-Compressive Monitoring (RCM) system for large-scale hosting infrastructures. RCM achieves scalable distributed monitoring by performing online data compression to reduce remote data collection cost. RCM provides failure resilience to achieve robust monitoring for dynamic distributed systems where host and network
  • 20. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com failures are common. We have conducted extensive experiments using a set of real monitoring data from NCSU's virtual computing lab (VCL), PlanetLab, a Google cluster, and real Internet traffic matrices. The experimental results show that RCM can achieve up to 200 percent higher compression ratio and several orders of magnitude less overhead than the existing approaches. ETPL PDS-043 Service Provision Control in Federated Service Providing Systems Abstract: Different from traditional P2P systems, individuals nodes of a Federated Service Providing (FSP) system play a more active role by offering a variety of domain-specific services. The service provision control (SPC) problem is an important problem of the FSP system and will be tackled in this paper within a stochastic optimization framework through several steps. The first step focuses on using stochastic differential equations (SDEs) to model and analyze the dynamic evolution of the service demand. Driven by the SDE model, expected future performance of a FSP system is analytically evaluated in the second step. Step three utilizes the differential evolution (DE) algorithm to identify near- optimal service-providing policies for each node. The service subscription protocol is further proposed in step four to help every node adjust its local policy in accordance with the services provided by other nodes. The four steps together implement a complete solution of the SPC problem and will be called the SDE-based service-provision control (SSPC) mechanism in this paper. Experimental evaluation of the mechanism has been reported in the paper. The results show that our approach is effective in tackling the SPC problem and may be therefore suitable for many practical applications. ETPL PDS-044 Social Similarity Favors Cooperation: The Distributed Content Replication Case Abstract: This paper explores how the degree of similarity within a social group can dictate the behavior of the individual nodes, so as to best tradeoff the individual with the social benefit. More specifically, we investigate the impact of social similarity on the effectiveness of content placement and dissemination. We consider three schemes that represent well the spectrum of behavior-shaped content storage strategies: the selfish, the self-aware cooperative, and the optimally altruistic ones. Our study shows that when the social group is tight (high degree of similarity), the optimally altruistic behavior yields the best performance for both the entire group (by definition) and the individual nodes (contrary to typical expectations). When the group is made up of members with almost no similarity, altruism or cooperation cannot bring much benefit to either the group or the individuals and thus, selfish behavior emerges as the preferable choice due to its simplicity. Notably, from a theoretical point of view, our “similarity favors cooperation” argument is inline with sociological interpretations of human altruistic behavior. On a more practical note, the self-aware cooperative behavior could be adopted as an easy to implement distributed alternative to the optimally altruistic one; it has close to the optimal performance for tight social groups and the additional advantage of not allowing mistreatment of any node, i.e., its induced content retrieval cost is always smaller than the cost of the selfish strategy. ETPL PDS-045 SPOC: A Secure and Privacy-Preserving Opportunistic Computing Framework for Mobile-Healthcare Emergency Abstract: With the pervasiveness of smart phones and the advance of wireless body sensor networks (BSNs), mobile Healthcare (m-Healthcare), which extends the operation of Healthcare provider into a pervasive environment for better health monitoring, has attracted considerable interest recently. However, the flourish of m-Healthcare still faces many challenges including information security and privacy preservation. In this paper, we propose a secure and privacy-preserving opportunistic computing framework, called SPOC, for m-Healthcare emergency. With SPOC, smart phone resources including computing power and energy can be opportunistically gathered to process the computing-intensive personal health information (PHI) during m-Healthcare emergency with minimal privacy disclosure. In
  • 21. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com specific, to leverage the PHI privacy disclosure and the high reliability of PHI process and transmission in m-Healthcare emergency, we introduce an efficient user-centric privacy access control in SPOC framework, which is based on an attribute-based access control and a new privacy-preserving scalar product computation (PPSPC) technique, and allows a medical user to decide who can participate in the opportunistic computing to assist in processing his overwhelming PHI data. Detailed security analysis shows that the proposed SPOC framework can efficiently achieve user-centric privacy access control in m-Healthcare emergency. In addition, performance evaluations via extensive simulations demonstrate the SPOC's effectiveness in term of providing high-reliable-PHI process and transmission while minimizing the privacy disclosure during m-Healthcare emergency. ETPL PDS-046 A Secure Protocol for Spontaneous Wireless Ad Hoc Networks Creation Abstract: This paper presents a secure protocol for spontaneous wireless ad hoc networks which uses an hybrid symmetric/asymmetric scheme and the trust between users in order to exchange the initial data and to exchange the secret keys that will be used to encrypt the data. Trust is based on the first visual contact between users. Our proposal is a complete self-configured secure protocol that is able to create the network and share secure services without any infrastructure. The network allows sharing resources and offering new services among users in a secure environment. The protocol includes all functions needed to operate without any external support. We have designed and developed it in devices with limited resources. Network creation stages are detailed and the communication, protocol messages, and network management are explained. Our proposal has been implemented in order to test the protocol procedure and performance. Finally, we compare the protocol with other spontaneous ad hoc network protocols in order to highlight its features and we provide a security analysis of the system. ETPL PDS-047 Bayesian-Inference-Based Recommendation in Online Social Networks Abstract: In this paper, we propose a Bayesian-inference-based recommendation system for online social networks. In our system, users share their content ratings with friends. The rating similarity between a pair of friends is measured by a set of conditional probabilities derived from their mutual rating history. A user propagates a content rating query along the social network to his direct and indirect friends. Based on the query responses, a Bayesian network is constructed to infer the rating of the querying user. We develop distributed protocols that can be easily implemented in online social networks. We further propose to use Prior distribution to cope with cold start and rating sparseness. The proposed algorithm is evaluated using two different online rating data sets of real users. We show that the proposed Bayesian- inference-based recommendation is better than the existing trust-based recommendations and is comparable to Collaborative Filtering (CF) recommendation. It allows the flexible tradeoffs between recommendation quality and recommendation quantity. We further show that informative Prior distribution is indeed helpful to overcome cold start and rating sparseness. ETPL PDS-048 CDS-Based Virtual Backbone Construction with Guaranteed Routing Cost in Wireless Sensor Networks Abstract: Inspired by the backbone concept in wired networks, virtual backbone is expected to bring substantial benefits to routing in wireless sensor networks (WSNs). Virtual backbone construction based on Connected Dominating Set (CDS) is a competitive approach among the existing methods used to establish virtual backbone in WSNs. Traditionally, CDS size was the only factor considered in the CDS- based approach. The motivation was that smaller CDS leads to simplified network maintenance.
  • 22. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com However, routing cost in terms of routing path length is also an important factor for virtual backbone construction. In our research, both of these two factors are taken into account. Specifically, we attempt to devise a polynomial-time constant-approximation algorithm that leads to a CDS with bounded CDS size and guaranteed routing cost. We prove that, under general graph model, there is no polynomial-time constant-approximation algorithm unless P = NP. Under Unit Disk Graph (UDG) model, we propose an innovative polynomial-time constant-approximation algorithm, GOC-MCDS-C, that produces a CDS D whose size I D is within a constant factor from that of the minimum CDS. In addition, for each node pair u and v, there exists a routing path with all intermediate nodes in D and path length at most 7 · d(u, v), where d(u, v) is the length of the shortest path between u and v. Our theoretical analysis and simulation results show that the distributed version of the proposed algorithm, GOC-MCDS-D, outperforms the existing approaches. ETPL PDS-049 Characterization and Management of Popular Content in KAD Abstract: The endeavor of this work is to study the impact of content popularity in a large-scale Peer-to- Peer network, namely KAD. Based on an extensive measurement campaign, we pinpoint several deficiencies of KAD in handling popular content and provide a series of improvements to address such shortcomings. Our work reveals that keywords, which are associated with content, may become popular for two distinct reasons. First, we show that some keywords are intrinsically popular because they are common to many disparate contents: in such case we ameliorate KAD by introducing a simple mechanism that identifies stopwords. Then, we focus on keyword popularity that directly relates to popular content. We design and evaluate an adaptive load balancing mechanism that is backward compatible with the original implementation of KAD. Our scheme features the following properties: 1) it drives the process that selects the location of peers responsible to store references to objects, based on object popularity; 2) it solves problems related to saturated peers that would otherwise inflict a significant drop in the diversity of references to objects, and 3) if coupled with a load-aware content search procedure, it allows for a more fair and efficient usage of peer resources. ETPL PDS-050 Complete EAP Method: User Efficient and Forward Secure Authentication Protocol for IEEE 802.11 Wireless LANs Abstract: It is necessary to authenticate users who attempt to access resources in Wireless Local Area Networks (WLANs). Extensible Authentication Protocol (EAP) is an authentication framework widely used in WLANs. Authentication mechanisms built on EAP are called EAP methods. The requirements for EAP methods in WLAN authentication have been defined in RFC 4017. To achieve user efficiency and robust security, lightweight computation and forward secrecy, excluded in RFC 4017, are desired in WLAN authentication. However, all EAP methods and authentication protocols designed for WLANs so far do not satisfy all of the above properties. This manuscript will present a complete EAP method that utilizes stored secrets and passwords to verify users so that it can 1) fully meet the requirements of RFC 4017, 2) provide for lightweight computation, and 3) allow for forward secrecy. In addition, we also demonstrate the security of our proposed EAP method with formal proofs. ETPL PDS-051 Coordinated Self-Configuration of Virtual Machines and Appliances Using a Model- Free Learning Approach Abstract: Cloud computing has a key requirement for resource configuration in a real-time manner. In such virtualized environments, both virtual machines (VMs) and hosted applications need to be configured on-the-fly to adapt to system dynamics. The interplay between the layers of VMs and applications further complicates the problem of cloud configuration. Independent tuning of each aspect
  • 23. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com may not lead to optimal system wide performance. In this paper, we propose a framework, namely CoTuner, for coordinated configuration of VMs and resident applications. At the heart of the framework is a model-free hybrid reinforcement learning (RL) approach, which combines the advantages of Simplex method and RL method and is further enhanced by the use of system knowledge guided exploration policies. Experimental results on Xen-based virtualized environments with TPC-W and TPC-C benchmarks demonstrate that CoTuner is able to drive a virtual server cluster into an optimal or near- optimal configuration state on the fly, in response to the change of workload. It improves the systems throughput by more than 30 percent over independent tuning strategies. In comparison with the coordinated tuning strategies based on basic RL or Simplex algorithm, the hybrid RL algorithm gains 25 to 40 percent throughput improvement. ETPL PDS-052 Exploiting Concurrency for Efficient Dissemination in Wireless Sensor Networks Abstract: Cloud computing has a key requirement for resource configuration in a real-time manner. In such virtualized environments, both virtual machines (VMs) and hosted applications need to be configured on-the-fly to adapt to system dynamics. The interplay between the layers of VMs and applications further complicates the problem of cloud configuration. Independent tuning of each aspect may not lead to optimal system wide performance. In this paper, we propose a framework, namely CoTuner, for coordinated configuration of VMs and resident applications. At the heart of the framework is a model-free hybrid reinforcement learning (RL) approach, which combines the advantages of Simplex method and RL method and is further enhanced by the use of system knowledge guided exploration policies. Experimental results on Xen-based virtualized environments with TPC-W and TPC-C benchmarks demonstrate that CoTuner is able to drive a virtual server cluster into an optimal or near- optimal configuration state on the fly, in response to the change of workload. It improves the systems throughput by more than 30 percent over independent tuning strategies. In comparison with the coordinated tuning strategies based on basic RL or Simplex algorithm, the hybrid RL algorithm gains 25 to 40 percent throughput improvement. ETPL PDS-053 Exploiting Concurrency for Efficient Dissemination in Wireless Sensor Networks Abstract: Wireless sensor networks (WSNs) can be successfully applied in a wide range of applications. Efficient data dissemination is a fundamental service which enables many useful high-level functions such as parameter reconfiguration, network reprogramming, etc. Many current data dissemination protocols employ network coding techniques to deal with packet losses. The coding overhead, however, becomes a bottleneck in terms of dissemination delay. We exploit the concurrency potential of sensor nodes and propose MT-Deluge, a multithreaded design of a coding-based data dissemination protocol. By separating the coding and radio operations into two threads and carefully scheduling their executions, MT-Deluge shortens the dissemination delay effectively. An incremental decoding algorithm is employed to further improve MT-Deluge's performance. Experiments with 24 TelosB motes on four representative topologies show that MT-Deluge shortens the dissemination delay by 25.5-48.6 percent compared to a typical data dissemination protocol while keeping the merits of loss resilience. ETPL PDS-054 Fault Tolerance in Distributed Systems Using Fused Data Structures
  • 24. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com Abstract: Replication is the prevalent solution to tolerate faults in large data structures hosted on distributed servers. To tolerate f crash faults (dead/unresponsive data structures) among n distinct data structures, replication requires f + 1 replicas of each data structure, resulting in nf additional backups. We present a solution, referred to as fusion that uses a combination of erasure codes and selective replication to tolerate f crash faults using just f additional fused backups. We show that our solution achieves O(n) savings in space over replication. Further, we present a solution to tolerate f Byzantine faults (malicious data structures), that requires only nf + f backups as compared to the 2nf backups required by replication. We explore the theory of fused backups and provide a library of such backups for all the data structures in the Java Collection Framework. The theoretical and experimental evaluation confirms that the fused backups are space-efficient as compared to replication, while they cause very little overhead for normal operation. To illustrate the practical usefulness of fusion, we use fused backups for reliability in Amazon's highly available key-value store, Dynamo. While the current replication-based solution uses 300 backup structures, we present a solution that only requires 120 backup structures. This results in savings in space as well as other resources such as power. ETPL PDS-055 Feasibility of Polynomial-Time Randomized Gathering for Oblivious Mobile Robots Abstract: We consider the problem of gathering n anonymous and oblivious mobile robots, which requires that all robots meet in finite time at a nonpredefined point. While the gathering problem cannot be solved deterministically without assuming any additional capabilities for the robots, randomized approaches easily allow it to be solvable. However, the randomized solutions currently known have a time complexity that is exponential in n with no additional assumption. This fact yields the following two questions: Is it possible to construct a randomized gathering algorithm with polynomial expected time? If it is not possible, what is the minimal additional assumption necessary to obtain such an algorithm? In this paper, we address these questions from the aspect of multiplicity-detection capabilities. We newly introduce two weaker variants of multiplicity detection, called local-strong and local-weak multiplicity, and investigate whether those capabilities permit a gathering algorithm with polynomial expected time or not. The contribution of this paper is to show that any algorithm only assuming local-weak multiplicity detection takes exponential number of rounds in expectation. On the other hand, we can obtain a constant- round gathering algorithm using local-strong multiplicity detection. These results imply that the two models of multiplicity detection are significantly different in terms of their computational power. Interestingly, these differences disappear if we take one more assumption that all robots are scattered (i.e., no two robots stay at the same location) initially. We can obtain a gathering algorithm that takes a constant number of rounds in expectation, assuming local-weak multiplicity detection and scattered initial configurations. ETPL PDS-056 Finding All Maximal Contiguous Subsequences of a Sequence of Numbers in O(1) Communication Rounds Abstract: Given a sequence A of real numbers, we wish to find a list of all nonoverlapping contiguous subsequences of A that are maximal. A maximal subsequence M of A has the property that no proper subsequence of M has a greater sum of values. Furthermore, M may not be contained properly within any subsequence of A with this property. This problem has several applications in Computational Biology and can be solved sequentially in linear time. We present a BSP/CGM algorithm that solves this problem using p processors in O(|A|=p) time and O(|A|=p) space per processor. The algorithm uses a constant number of communication rounds of size at most O(|A|=p). Thus, the algorithm achieves linear speedup
  • 25. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com and is highly scalable. To our knowledge, there are no previous known parallel BSP/CGM algorithms to solve this problem. ETPL PDS-057 Geocommunity-Based Broadcasting for Data Dissemination in Mobile Social Networks Abstract: In this paper, we consider the issue of data broadcasting in mobile social networks (MSNets). The objective is to broadcast data from a superuser to other users in the network. There are two main challenges under this paradigm, namely 1) how to represent and characterize user mobility in realistic MSNets; 2) given the knowledge of regular users' movements, how to design an efficient superuser route to broadcast data actively. We first explore several realistic data sets to reveal both geographic and social regularities of human mobility, and further propose the concepts of geocommunity and geocentrality into MSNet analysis. Then, we employ a semi-Markov process to model user mobility based on the geocommunity structure of the network. Correspondingly, the geocentrality indicating the “dynamic user density” of each geocommunity can be derived from the semi-Markov model. Finally, considering the geocentrality information, we provide different route algorithms to cater to the superuser that wants to either minimize total duration or maximize dissemination ratio. To the best of our knowledge, this work is the first to study data broadcasting in a realistic MSNet setting. Extensive trace-driven simulations show that our approach consistently outperforms other existing superuser route design algorithms in terms of dissemination ratio and energy efficiency. ETPL PDS-058 LOBOT: Low-Cost, Self-Contained Localization of Small-Sized Ground Robotic Vehicles Abstract: It is often important to obtain the real-time location of a small-sized ground robotic vehicle when it performs autonomous tasks either indoors or outdoors. We propose and implement LOBOT, a low-cost, self-contained localization system for small-sized ground robotic vehicles. LOBOT provides accurate real-time, 3D positions in both indoor and outdoor environments. Unlike other localization schemes, LOBOT does not require external reference facilities, expensive hardware, careful tuning or strict calibration, and is capable of operating under various indoor and outdoor environments. LOBOT identifies the local relative movement through a set of integrated inexpensive sensors and well corrects the localization drift by infrequent GPS-augmentation. Our empirical experiments in various temporal and spatial scales show that LOBOT keeps the positioning error well under an accepted threshold. ETPL PDS-059 Lower Bound for Node Buffer Size in Intermittently Connected Wireless Networks Abstract: We study the fundamental lower bound for node buffer size in intermittently connected wireless networks. The intermittent connectivity is caused by the possibility of node inactivity due to some external constraints. We find even with infinite channel capacity and node processing speed, buffer occupation in each node does not approach zero in a static random network where each node keeps a constant message generation rate. Given the condition that each node has the same probability p of being inactive during each time slot, there exists a critical value pc(λ) for this probability from a percolation- based perspective. When p <; pc(λ), the network is in the supercritical case, and there is an achievable lower bound (In our paper, “achievable” means that node buffer size in networks can achieve the same order as the lower bound by applying some transmission scheme) for the occupied buffer size of each node, which is asymptotically independent of the size of the network. If p > pc(λ), the network is in the
  • 26. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com subcritical case, and there is a tight lower bound Θ(√n) for buffer occupation, where n is the number of nodes in the network. ETPL PDS-060 On-Chip Sensor Network for Efficient Management of Power Gating-Induced Power/Ground Noise in Multiprocessor System on Chip Abstract: Reducing feature sizes and power supply voltage allows integrating more processing units (PUs) on multiprocessor system on chip (MPSoC) to satisfy the increasing demands of applications. However, it also makes MPSoC more susceptible to various reliability threats, such as high temperature and power/ground (P/G) noise. As the scale and complexity of MPSoC continuously increase, monitoring and mitigating reliability threats at runtime could offer better performance, scalability, and flexibility for MPSoC designs. In this paper, we propose a systematic approach, on-chip sensor network (SENoC), to collaboratively predict, detect, report, and alleviate runtime threats in MPSoC. SENoC not only detects reliability threats and shares related information among PUs, but also plans and coordinates the reactions of related PUs in MPSoC. SENoC is used to alleviate the impacts of simultaneous switching noise in MPSoC's P/G network during power gating. Based on the detailed noise behaviors under different scenarios derived by our circuit-level MPSoC P/G noise simulation and analysis platform, simulation results show that SENoC helps to achieve on average 26.2 percent performance improvement compared with the traditional stop-go method with 1.4 percent area overhead in an 8*8-core MPSoC in 45 nm. An architecture-level cycle-accurate simulator based on SystemC is implemented to study the performance of the proposed SENoC. By applying sophisticated scheduling techniques to optimize the total system performance, a higher performance improvement of 43.5 percent is achieved for a set of real-life applications. ETPL PDS-061 Robust Tracking of Small-Scale Mobile Primary User in Cognitive Radio Networks Abstract: In cognitive radio networks (CRNs), secondary users must be able to accurately and reliably track the location of small-scale mobile primary users/devices (e.g., wireless microphones) in order to efficiently utilize spatial spectrum opportunities, while protecting primary communications. However, accurate tracking of the location of mobile primary users is difficult due mainly to the CR-unique constraint, i.e., localization must rely solely on reported sensing results (i.e., measured primary signal strengths), which can easily be compromised by malicious sensors (or attackers). To cope with this challenge, we propose a new framework, called Sequential mOnte carLo combIned with shadow-faDing estimation (SOLID), for accurate, attack/fault-tolerant tracking of small-scale mobile primary users. The key idea underlying SOLID is to exploit the temporal shadow fading correlation in sensing results induced by the primary user's mobility. Specifically, SOLID augments conventional Sequential Monte Carlo (SMC)-based target tracking with shadow-fading estimation. By examining the shadow-fading gain between the primary transmitter and CRs/sensors, SOLID 1) significantly improves the accuracy of primary tracking regardless of the presence/absence of attack, and 2) successfully masks the abnormal sensing reports due to sensor faults or attacks, preserving localization accuracy and improving spatial spectrum efficiency. Our extensive evaluation in realistic wireless fading environments shows that SOLID lowers localization error by up to 88 percent in the absence of attacks, and 89 percent in the presence of the challenging "slow-poisoning” attack, compared to the conventional SMC-based tracking.
  • 27. Elysium Technologies Private Limited Singapore | Madurai | Chennai | Trichy | Coimbatore | Cochin | Ramnad | Pondicherry | Trivandrum | Salem | Erode | Tirunelveli http://www.elysiumtechnologies.com, info@elysiumtechnologies.com ETPL PDS-062 Scheduling Sensor Data Collection with Dynamic Traffic Patterns Abstract: The network traffic pattern of continuous sensor data collection often changes constantly over time due to the exploitation of temporal and spatial data correlations as well as the nature of condition- based monitoring applications. In contrast to most existing TDMA schedules designed for a static network traffic pattern, this paper proposes a novel TDMA schedule that is capable of efficiently collecting sensor data for any network traffic pattern and is thus well suited to continuous data collection with dynamic traffic patterns. In the proposed schedule, the energy consumed by sensor nodes for any traffic pattern is very close to the minimum required by their workloads given in the traffic pattern. The schedule also allows the base station to conclude data collection as early as possible according to the traffic load, thereby reducing the latency of data collection. We present a distributed algorithm for constructing the proposed schedule. We develop a mathematical model to analyze the performance of the proposed schedule. We also conduct simulation experiments to evaluate the performance of different schedules using real-world data traces. Both the analytical and simulation results show that, compared with existing schedules that are targeted on a fixed traffic pattern, our proposed schedule significantly improves the energy efficiency and time efficiency of sensor data collection with dynamic traffic patterns. ETPL PDS-063 Secure SOurce-BAsed Loose Synchronization (SOBAS) for Wireless Sensor Networks Abstract: We present the Secure SOurce-BAsed Loose Synchronization (SOBAS) protocol to securely synchronize the events in the network, without the transmission of explicit synchronization control messages. In SOBAS, nodes use their local time values as a one-time dynamic key to encrypt each message. In this way, SOBAS provides an effective dynamic en-route filtering mechanism, where the malicious data is filtered from the network. With SOBAS, we are able to achieve our main goal of synchronizing events at the sink as quickly, as accurately, and as surreptitiously as possible. With loose synchronization, SOBAS reduces the number of control messages needed for a WSN to operate providing the key benefits of reduced energy consumption as well as reducing the opportunity for malicious nodes to eavesdrop, intercept, or be made aware of the presence of the network. Albeit a loose synchronization per se, SOBAS is also able to provide 7.24 μs clock precision given today's sensor technology, which is much better than other comparable schemes (schemes that do not employ GPS devices). Also, we show that by recognizing the need for and employing loose time synchronization, necessary synchronization can be provided to the WSN application using half of the energy needed for traditional schemes. Both analytical and simulation results are presented to verify the feasibility of SOBAS as well as the energy consumption of the scheme under normal operation and attack from malicious nodes. ETPL PDS-064 On Data Staging Algorithms for Shared Data Accesses in Clouds Abstract: In this paper, we study the strategies for efficiently achieving data staging and caching on a set of vantage sites in a cloud system with a minimum cost. Unlike the traditional research, we do not intend to identify the access patterns to facilitate the future requests. Instead, with such a kind of information presumably known in advance, our goal is to efficiently stage the shared data items to predetermined sites at advocated time instants to align with the patterns while minimizing the monetary costs for caching and transmitting the requested data items. To this end, we follow the cost and network models in [1] and extend the analysis to multiple data items, each with single or multiple copies. Our results show that