SlideShare una empresa de Scribd logo
1 de 41
12.0                            0.0   8.9   12.0




              Business Forecasting and Planning
7.18
6.80                       summit                         7.18
                                                          6.80

6.20                                                      6.20



5.00                                                      5.00




        Challenges and innovative
        solutions of Business Forecasting
        and Planning


        Amsterdam,
        March 12th 2010




6.40                                                      6.40




        Hans Ehm
8.60                                                      8.60

9.20                                                      9.20
12.0                                                  0.0                          8.9       12.0




7.18
        Table of Contents                                                                                  7.18
6.80                                                                                                       6.80

6.20                                                                                                       6.20


          The semiconductor industry - the global production network is the
                                                                                                           5.00
           new “Fab”
5.00




          Learning how to put a dollar sign next to S&OP projects to get
           upper management approval

          Spending less time on data problem discussion and more on
           decision making

           Implementing executive level S&OP education and change
            management training

           Promoting the use of what-if scenario analysis to help manage
            risk and optimise decision making

6.40                                                                                                       6.40

          Executive summary

8.60                                                                                                       8.60

9.20    Mar-15-10          Copyright © Infineon Technologies 2006. All rights reserved.         Page 2     9.20
12.0                                                 0.0                          8.9       12.0




7.18
        Infineon – products – we produce chips                                                            7.18
6.80                                                                                                      6.80

6.20                                                                                                      6.20



5.00                                                                                                      5.00




                               NO
6.40                                                                                                      6.40




                Zero Calories and 100 Percent Innovation!
8.60                                                                                                      8.60

9.20    17.09.2009        Copyright © Infineon Technologies 2009. All rights reserved.         Page 3     9.20
12.0                                                       0.0                                8.9       12.0




7.18
        Infineon at a Glance                                                                                          7.18
6.80                                                                                                                  6.80

6.20                                                                                                                  6.20

              The Company
5.00                                                                                                                  5.00




                Infineon provides semiconductor and system solutions, focusing
                 on three central needs of our modern society:
                 Energy efficiency, Communications and Security
                Revenue in FY 2009: 3.027 billion EUR
                Some 26,000 employees worldwide (as of Sept 2009)
                Strong technology portfolio with about 22,900 patents and
                 patent applications
                More than 30 major R&D locations
                Germany‘s largest semiconductor company
6.40                                                                                                                  6.40




8.60                                                                                                                  8.60

9.20    11/19/2009
        17.09.2009              Copyright © Infineon Technologies 2009. 2009. All rights reserved.
                                     Copyright © Infineon Technologies All rights reserved.                Page 4     9.20
Focus Areas and Target Markets
Revenue Split by Focus Area
                        FY 2009 revenue split
                                                                                     Industrial &
                                                                                     Multimarket



  Automotive


                       Energy Efficiency: EUR 1744m



               Communications:                                       Security:
                 EUR 917m                                           EUR 341m




   Wireless                                                                           Chip Card
   Solutions                                                                          & Security


11/19/2009
Mar-15-10             Copyright © Infineon Technologies 2009. All rights reserved.             Page 5
12.0                                                                                0.0                                                      8.9            12.0




        The Semiconductor Environment – an Industry Comparison
7.18
        (not really serious … but easy to memorize)                                                                                                                       7.18
6.80                                                                                                                                                                      6.80

6.20                                                                                                                                                                      6.20



5.00                                                                                                                                                                      5.00




                                                          If the automotive and aircraft industries
                                                          developed at the same rates as semiconductors
                                                          in the past 30 years:
                                                            a Rolls Royce would cost $2.75 and get 3
                                                          million miles a gallon
                                                            a Boeing 767 would cost $500 and circle the
                                                          globe in 20 minutes on five gallons of gas.




                            Source: Jeffrey Rayport, professor at Harvard Business School; Co-author of books on strategy in the network economy.
6.40                                                                                                                                                                      6.40




                          Why?
8.60                                                                                                                                                                      8.60

9.20    Mar-15-10   Version 1.1                                      Copyright © Infineon Technologies 2006. All rights reserved.                         Page 6 of 51    9.20
12.0                                          0.0                                            8.9               12.0




        Innovation of the First Transistor on Dec 23rd 1947.
7.18
        The Starting Point of the Semiconductor Industry                                                                     7.18
6.80                                                                                                                         6.80

6.20



5.00
              Because                                                                             and
                                                                                                                             6.20



                                                                                                                             5.00




6.40                                                                                                                         6.40
                                                                                                 Bell Labs
                                                                                      600 Mountain Ave.
                                                                                     Murray Hill, NY, USA
8.60                                                                                                                         8.60

9.20    Mar-15-10   Version 1.1   Copyright © Infineon Technologies 2006. All rights reserved.               Page 7 of 51    9.20
12.0                                                                           0.0                                                     8.9                               12.0




        Enormous development of semiconductors –
7.18
        costs per function decline 30% each year                                                                                                                                       7.18
6.80                                                                                                                                                                                   6.80

6.20                                                                                                                                                                                   6.20


                               Moore’s Law:
                                                                                                                                                                                       5.00
5.00
                               Doubling time
                               is 18 months                                                                               512 Mbit
                                                                                                                               "
                               109




                                                                                                                                                minimum feature size [µm]
                                                                                                             256 Mbit




                                                                                                                                                 at microprocessor-chips
                                                                                                                  "
                               108                                                                                     ♦	

                                                                                  64 Mbit
                                                                                      "                                                  0,1
                                                                                               ♦	

 Pentium IV
                                                                              16 Mbit
                                                                                   "     ♦	

 Pentium III
                               107
               transistors /




                                                                                 "             ♦	

 Pentium II
                                                                                  4 Mbit
                                                                                     ♦	

                               106                    80286             "       ♦	

                                                                "
                                                                       ♦	

                                                               1
              chip




                               105                                                          Pentium ®
                                                                ♦	

                                     4004                            80486
                                                           68000
                                                      ♦	

                               104                    8086       80386
                                            ♦	

                                            8080                                                                                                                                       6.40
6.40
                                     ♦	

                                                                                                 10
                               103
                                 1970        1975 1980 1985                       1990 1995               2000                2005 2010
8.60                                                                                                                                                                                   8.60

                                                   Copyright © Infineon Technologies 2009. All rights reserved.
          Mar-
9.20                                                                                                                                                                        Page 8     9.20
12.0                                                                                  0.0                                     8.9         12.0




       Semiconductor Characteristics: Steep ramps,
       short life cycles and long Lead times
7.18                                                                                                                                                    7.18
6.80                                        % of semiconductors of final product:                                                                       6.80

6.20                                                                                                                                                    6.20

                                           2%          12 %             30 %            35-40 %
                                                                                                                                                        5.00
5.00
       1000 m
                                                       TV
                                                      color              PC           GSM

         100 m                                                   VCR
                                               TV
                                              b&w                                                                    Steep Product Ramps
              10 m
                                                                                                                     Short Lifecycle
              1m
                                                                                         Ipod

       1950          1960        1970         1980          1990         2000       2010
       Sources : Dataquest, ST shown at IEF 2005, Malta (some wording changed)

                                  ~ 3 months


               Suppliers         Semiconductor              Customer                    Custo
                                   Industry                                             mer of
                                                                                         our                         Long Lead Time
                                                                                        Custo
                                                                                        mer &
6.40
                                                                                        Consu                        Early in Value Chain              6.40
                                                                                         mer




8.60
                                             ~ 4 months                                                                                                 8.60

9.20    Mar-15-10          For internal use only           Copyright © Infineon Technologies 2006. All rights reserved.                      Page 9     9.20
12.0                                                        0.0                                      8.9             12.0




        The challenge beyond managing steep ramps is to differentiate
        between booming products and those slower ramping as
7.18
        forecasted - Infineon examples                                                                                             7.18
6.80                                                                                                                               6.80

6.20                                                                                                                               6.20



5.00                                                                                                                               5.00




              40 m
                                                                                P1 ramped faster than forecasted

                                                                            Risk of missing Business
              30 m                                                                   chance

                               P1
              20 m


                                                                          P2 – ramped slower than forecasted       
               10 m                                                                       Risk of Stock;
                                                                                              Scrap;
                                                                                          & Idle Capacity
                                                                                                                                   6.40
6.40
                 0
                Mar 07 June 07 Sep 07            Dec 07
                       FC                   Actuals
8.60                                                                                                                               8.60

9.20                             Copyright © Infineon Technologies 2009. All rights reserved.                          Page 10     9.20
12.0                                                                  0.0                                         8.9            12.0



        The Main process acc. To SCOR in the Semiconductor
        Industry is Make “Produce and Test”. It is Grouped into
7.18
        Front End and Back End Process Separated by a DieBank                                                                                  7.18
6.80                                                                                                                                           6.80

6.20                                                                                                                                           6.20



5.00                                                                                                                                           5.00




                                         Front End                   &             Back End


                          Front End (CT 40-100d)                               Back End (CT 5-20d)                             CT:
                                                                                                                               Cycle Time




                              FAB         SORT             DIEBANK            ASSEMBLY                    TEST    DC


                             Furnace                                               Sawing
              Up to 500
                            Implanting
               process      Deposition   Wafertest                             Die Bonding            Chiptest
6.40            steps        Stepper                                           Wire Bonding          (Burn In)                                 6.40
                             Etching                                             Moulding
                             Wetting                                           Trim & Form


8.60
                                                             Stock                                               Stock                         8.60

9.20    17.09.2009                         Copyright © Infineon Technologies 2009. All rights reserved.                            Page 11     9.20
12.0                                                          0.0                                            8.9         12.0




       Front End Consists of a Series of Chemical and
7.18
       Physical Processes - Basic Process Steps                                                                                        7.18
6.80                                                                                                                                   6.80

6.20                                                                                                                                   6.20



5.00                                                                                                                                   5.00




                                              Lithography

                                                                                          Etching
                     Chemo-Mechanical-
                      Polishing (CMP)
                                                                                                  Doping
                                                                                                   (Ion Implantation and
                                         Layering: Physical                                               Diffusion)
                                         Vapor Deposition
                           Layering:           (PVD)
                                                                                       Layering:
                           Oxidation
                                                                                     Chemical Vapor
                                                                                       Deposition
6.40
                                                                                         (CVD)                                         6.40




                             Cleaning and control
8.60                                                                                                                                   8.60

9.20    17.09.2009                 Copyright © Infineon Technologies 2009. All rights reserved.                            Page 12     9.20
12.0                                                                                        0.0                                                 8.9             12.0




        Up to 35 Revolving Lithography Steps per Wafer
7.18
        Transform the Design via Masks to the Wafer …                                                                                                                         7.18
6.80                                                                                                                                                                          6.80

6.20                                                                                                                                                                          6.20

                              … generating a three dimensional" landscape on the wafer surface
5.00                                                                                                                                                                          5.00
              Lithography method: one chip after




                                                                                                                                                              7
                                                                                                                                                              6
                      another is exposed




                                                                                                                                                              5
                                                                                                                                                              4
                                                                                                                                                              3
                                                                                                                                                              2
                                                                                                                                                              1


                                                                                                                                Other processes as etching,
                                                                                                                                doping, layering can change
                                                                                                                                the surface of the wafer
                                                                                                                                permanently on the places
                                                                                                                                that were exposed within
                                                   Next exposure step – up to 35 revolving steps                                lithography.



6.40                                                                                                                                                                          6.40
                                                                                                                                           Layering,
                                                                                                                                           Doping or
                                                                                                                                           Etching
8.60                                                                                                                                                                          8.60

9.20    17.09.2009                                               Copyright © Infineon Technologies 2009. All rights reserved.                                     Page 13     9.20
12.0                                                                            0.0                                            8.9                 12.0




        The Frontend SC consisting of 500 process steps+ (many
        are revolving). It runs at a Flow Factor of 2,5 to 3 times the
7.18
        raw process time (base is 365 days a year 24 hours a day)                                                                                                7.18
6.80                                                                                                                                                             6.80

6.20                                                                                                                                                             6.20


                          FURNACE - Oxid                  IMPLANT                    Defect Density                 DRY ETCHING   PVD - Sputtering
5.00                                                                                                                                                             5.00




              START                                                                                          CT: Cycle Time                  SORT
                                                                                                             RPT: Raw Process
                                                                                                              Time




6.40                                                                                                                                                             6.40


                               CMP                         WET                       METROLOGY *                    LITHOGRAPHY         CVD

                      * one test step after lithography
8.60                                                                                                                                                             8.60

9.20    17.09.2009                                   Copyright © Infineon Technologies 2009. All rights reserved.                                    Page 14     9.20
12.0                                                               0.0                                           8.9        12.0




        Backend Process:
7.18
        From Chip at Die Bank to Finished Good                                                                                            7.18
6.80                                                                                                                                      6.80

6.20                                                                                                                                      6.20



5.00                                                                                                                                      5.00




                    Wafer &
                    Material




                           Die   Wire                             Trim                                    Mark                 Tube
                    Saw                   Mold        Plate                     Singl              Test          Pack
                          Attach Bond                             Form                                    Scan


                               ASSEMBLY PROCESS                                                  TESTING PROCESS
                                                                                                                               Tray




                                                                                                                                Tape
6.40                                                                                                                                      6.40
                                                                                                             Finished
                                                                                                              Goods

8.60                                                                                                                                      8.60

9.20    Mar-15-10                       Copyright © Infineon Technologies 2006. All rights reserved.                          Page 15     9.20
12.0                                                                   0.0                                           8.9              12.0




        IFX today has a global production network comprising
        Frontend, Backend, Sifos and Subcons
7.18                                                                                                                                                7.18
6.80                                                                                                                                                6.80

6.20                                                                                                                                                6.20



5.00                                                                                                                          Malacca               5.00

                                                                                                                                          Wuxi
                      ALTIS          SMIC                     Nantong                        ASE                    AMKOR


              Zarlink
                                                                                                                                   UMC

                                                  Dresden                                     Kulim                 Batam



              Triquint                                                                                                             TSMC


                                                                                              Singapore
                               Regensburg         Warstein                 Cegléd                 Villach
                                                                                                                                   PSI


                IBM

                               STATSChipPAC           Unisem                        CHRT                   Carsem           UTAC
6.40                                                                                                                                                6.40



                      SIFO
                      SUBCON
                      FE
8.60                                                                                                                                                8.60
                      BE
9.20    17.09.2009                          Copyright © Infineon Technologies 2009. All rights reserved.                                Page 16     9.20
12.0                                                                    0.0                                              8.9             12.0




        Fast product ramps in the past required mainly to manage
        internal Frontend SC fast and cost efficient
7.18                                                                                                                                                   7.18
6.80                                                                                                                                                   6.80

6.20                                                                                                                                                   6.20


                      FURNACE                    IMPLANT                     Defect Density                  ETCHING             PVD
5.00                                                                                                                                                   5.00




                                                                                                             Fab Dresden - GE
              START                                                                                                                     SORT




6.40                                                                                                                                                   6.40


                       CMP                        WET                        METROLOGY *                    LITHOGRAPHY           CVD


8.60                  * test step according to lithography                                                                                             8.60

9.20    17.09.2009                           Copyright © Infineon Technologies 2009. All rights reserved.                                  Page 17     9.20
12.0                                                                                0.0                                                      8.9                     12.0




       Today’s ramps benefit from a global production
       flexibility – The global SC is our new “Fab”
7.18                                                                                                                                                                               7.18
6.80                                                                                                                                                                               6.80
       May08 additionalchain wasSORT(ASE) ~(sort at WOPW 6000 out
       Aug07:(Plan): otherfabcapa ~(sort at subcon 000 SIN)WOPW via
       July07: ", additional assy and Test(ASE)Assy9000 Wafer
       Sep07: Flex:technical possiblestep inWafer 200winWOPWout WOPW
       April07:flexbumpingASE (Altis)~ DDWaferproductive out
       Mar07: only 2ndbumping &2nd(UMC8D) WaferAMKOR and
          July07: and additional Fab flexibilityis
          June07:additional routes + 3600 &
                     Bump alternative
                             Fab
       Each new supplyFE test subconbump SIN 103100 customers
6.20
       Feb07:Wafer out assy capaa 1600forwardout 10 SC
       July07: additional with this ~ 170 Wafer ~ to out 000 Wafer
       Aug07: aditionnaltest + subcon 5300 ~ out 2800
       June07:", and other routes first (AMKOR) out ~ Wafer ~
          Jan08: ASE
                … WOPW                       ALTIS)
       delivering (Unitive was the bottleneck)
       ~ 300
          ~ 7500                        established ALTIS
                                                                                                                                                                                   6.20

       capa increase in less than one year
5.00                                                                                                                                                                               5.00

               Fab Germany                  Fab             Bumping B) Taiwan                              Assy Philipines
                                                                                   SORT after                                          Assy SIN      Ship from SIN
                                           Taiwan
                                                                                  Bump Altis - FR




       Start




                                                                                                                                                    DCA

                                                                                                                                                            DCU

                                                                                                                                                                     DCE
6.40
                                         Bumping A)           SORT after            SORT after                 Assy               Final Test SIN)                                  6.40
               Fab France                  Taiwan            Bump Germany            Bump SIN                                                             Stock DCx
                                                                                                              Taiwan

                             IFX Asset                Fab             Test (SORT&FinalTest)                                      Not shown is
                                                                                                                                 Final Test Flex
                                                      Bumping         Assembly                                                   within
8.60
                             Subcon or SiFo                                                                                                                                        8.60
                                                                                                                                 Singapore
9.20    Mar-15-10           Version 1.1                                 Copyright © Infineon Technologies 2006. All rights reserved.                              Page 18 of 51    9.20
12.0                                                                        0.0                                              8.9                12.0




        A Top to Bottom S&OP Process is a key enabler to
7.18
        master this complexity, flexibility and speed requirements                                                                                            7.18
6.80                                                                                                                                                          6.80
                                                                                     Actuals (Billings,
6.20                                                                                                                                                          6.20
                                                                                   Orders, Reservations)
               Customer                                                                      -Target: unconstrained FC
                                                                                                              Unconstrained
               Forecasts
                                                                    1) S&OP Demand Marketing -Horizon: 12m
                                                                                              Planning          Marketing
5.00                               Sales Planning                                                               Forecasts
                                                                                             -Gran: m/Rroduct (=Requests)
                                                                                                               (PPOS)
                                                                                                                                                              5.00
                                                                        PLANNING
                                                                      Sales Forecasts   (VRFC)
                                                                       (Actual & Past)
                                                         -Target: constrained FC
                                                         committed capa.
                                                         -Horizon: 12m
                                                         -Gran: w/FPOS/PL                                                   Sales Forecasts of next
                               Volume & Capacity
                       3) S&OP CAPACITY      -Target: supply plan for order commit
                                                                                                                            month & Constrained
                                 Planning (VRFC)                                                                                   Forecasts
                           PLANNING          -Horizon:Constrained
                                                       26w, >26w infinite  Forecasts
                                Forecasts &
                                                  -Gran: d,w/FP
                                                            (=Promises)
                                  Capacity                                                Allocation
                                 Allocations        <= Today / GIT (d/FP)
                                                    <= BE FF (w/FP) &Orders
                                                                                           Process
                                                                    Reservations
                                                    <= FE FF (w/FP based on Die Rep)
                                  Operational Planning 26w (w/FP based on FPOS)                 -Target: order
                                                    <=
                                                                                 Requests
                                                                                                confirmation to
                                                                                                      Promises
                                  Production               Delivery                             customer
                                   Requests               Promises                              -Horizon: 52w
                                    4) S&OP SUPPLY       from plants
                                                                                                -Gran: d/SP
                                        PLANNING      -Target: min & max target               SAP
                           FEST Sub-           BEST Sub-
                                                      stocks
                            Process             Process                                   Module SD
                                                      -Horizon: a) 12m; b) 12w
                                                      -Gran: a) m/FPOS; b) w/FP

6.40
              -Work-In-Progress                Master                           Master
                                                                                                          Orders &5) ORDER
                                                                                                         Reservations
                                                                                                                           Confirmations                      6.40
              -Actual DB/DC Stocks             Plan FE                          Plan BE
                        2) S&OP PRODUCTION
              -Min/Max Stock Targets                                                                              MANAGEMENT
                      MANAGEMENT & INVENTORY
                               Frontend
                              HANDLING                                Backend
8.60                                                                                                                                                          8.60

9.20    Mar-15-10                                Copyright © Infineon Technologies 2006. All rights reserved.                                     Page 19     9.20
12.0                                          0.0                                         8.9             12.0




7.18
        Table of Contents                                                                                               7.18
6.80                                                                                                                    6.80

6.20                                                                                                                    6.20


          The semiconductor industry - the global production network is the
                                                                                                                        5.00
           new “Fab”
5.00




          Learning how to put a dollar sign next to S&OP projects to get
           upper management approval

          Spending less time on data problem discussion and more on
           decision making

           Implementing executive level S&OP education and change
            management training

           Promoting the use of what-if scenario analysis to help manage
            risk and optimise decision making

6.40                                                                                                                    6.40

          Executive summary

8.60                                                                                                                    8.60

9.20    Mar-15-10   Version 1.1   Copyright © Infineon Technologies 2006. All rights reserved.         Page 20 of 51    9.20
12.0                                                             0.0                                                 8.9        12.0



          Improved F/C Accuracy is the target of S&OP and by far the
          cheapest way to handle Uncertainty
7.18
          1) A $ Sign of missing forecast accuracy                                                                                            7.18
6.80                                                                                                                                          6.80

6.20                                                                                                                                          6.20
                Time
               horizon            1-3 months                      4-6 months                             7-12 months
5.00                                                                                                                                          5.00




                            Wrong product mix:              Backend capacity:                        Frontend capacity:
                            -  increased stocks             - underutilization                       - underutilization
              Mechanism     -  scrap                        - subcontractor cost                     - foundry cost adder
                            -  missed                          adder
                               opportunities


                Cost vs
                 100%
              FC accuracy          ~xb’ €                              ~ yb’ €                              ~ zb’ €




                                                                     Cost
                                                                  Vs 100% FC
6.40                                                               accuracy                                                                   6.40

                                                                    ~xxb’ €


8.60                                                                                                                                          8.60

9.20    17.09.2009                    Copyright © Infineon Technologies 2009. All rights reserved.                                Page 21     9.20
12.0                                                            0.0                                       8.9             12.0



       Improved F/C Accuracy is the target of S&OP and by far the
       cheapest way to handle Uncertainty
7.18
       2) Measure Forecast Accuracy                                                                                                     7.18
6.80                                                                                                                                    6.80

6.20       FC accuracy is the deviation of the Sales FC vs actual billings.                                                            6.20



5.00       Symmetric Mean Absolute Percentage Error (SMAPE):                                                                           5.00




           Example:
                                                                                                     1.) Calculation of
                                                                                                    positive & negative
                                                                                                          balance
                2.) Summation of
                Forecast, Billings
                                                                                                            4.) F/C Accuracy acc.
                   and Balance                                                                                  is 100% minus
                                                                                                             absolute of positive
                                                                                                                 and negative
                                                                                                                  Percentage

6.40           3.) Percentage of                                                                                                        6.40

               Balance to Sum of
               Forecast & Billings

8.60                                                                                                                                    8.60

9.20    17.09.2009                   Copyright © Infineon Technologies 2009. All rights reserved.                           Page 22     9.20
12.0                                                    0.0                          8.9        12.0



        Improved F/C Accuracy is the target of S&OP and by far the
        cheapest way to handle Uncertainty - a $ sign helps
7.18
        3) Set up a process – an example                                                                      7.18
6.80                                                                                                          6.80

6.20                                                                                                          6.20

          1.  Analyze historical data per division, region, sales planner and
5.00
              customer.                                                                                       5.00




          2.  Set target based on experience/best engineering judgment
              (e.g. 30% of sales planner achieve 80% accuracy, why not the
              rest?)
          3.  Calculate the potential Euro savings if target is achieved per
              division, region, sales planner and customer.
          4.  Monthly reporting (performance, potential Euro savings and
              rough analysis per customer and per sales planner)
          5.  Monthly alignment Division/Sales/Supply Chain and definition
              of major action items.

6.40                                                                                                          6.40




8.60                                                                                                          8.60

9.20    17.09.2009           Copyright © Infineon Technologies 2009. All rights reserved.         Page 23     9.20
12.0                                                      0.0                                                      8.9            12.0



       Improved F/C Accuracy is the target of S&OP and by far the
       cheapest way to handle Uncertainty – a$ sign helps
7.18
       4) Implement process and reporting                                                                                                       7.18
6.80                                                                                                                                            6.80

6.20                                                                                                                                            6.20



5.00                                                                                                                                            5.00




                     FC – accuracy                                                            FC – accuracy
                     per DIVISION                                                             per REGION




                                                                                  Target Potential per Sales Planner in region AP




                      Over-planning                                                       Target Potential

6.40                  per DIVISION                                                  per SALES PLANNER                                           6.40




8.60                                                                                                                                            8.60

9.20    17.09.2009             Copyright © Infineon Technologies 2009. All rights reserved.                                         Page 24     9.20
12.0                                                       0.0                                             8.9             12.0



         Improved F/C Accuracy is the target of S&OP and by far the
         cheapest way to handle Uncertainty – a$ sign helps
7.18
         5) Get achievements and …                                                                                                       7.18
6.80                                                                                                                                     6.80

6.20        FC accuracy improved by ~10% within 15 months                                                                               6.20



5.00        The improved FC accuracy leads to a saving of                                              XY’ Euro                         5.00




                      Time
                     horizon   1-3 months                         4-6 months                       7-12 months




                               ~ xa‘ €
                               ~xb‘                                       ya‘
                                                                        ~ yb‘ €                      ~ za‘ €
                                                                                                     ~ zb




                                                                     Cost
                                                                 Impact after
                                                                   impact
                                                                Improvement
                                                                  reference
6.40                                                                xxb‘ €
                                                                    xxa‘                                                                 6.40




                                                                                   * gross stock value DB+DC @ 15% capital cost
8.60                                                                                                                                     8.60

9.20    17.09.2009              Copyright © Infineon Technologies 2009. All rights reserved.                                 Page 25     9.20
12.0                                          0.0                                         8.9             12.0




7.18
        Table of Contents                                                                                               7.18
6.80                                                                                                                    6.80

6.20                                                                                                                    6.20


          The semiconductor industry - the global production network is the
                                                                                                                        5.00
           new “Fab”
5.00




          Learning how to put a dollar sign next to S&OP projects to get
           upper management approval

          Spending less time on data problem discussion and more on
           decision making

           Implementing executive level S&OP education and change
            management training

           Promoting the use of what-if scenario analysis to help manage
            risk and optimise decision making

6.40                                                                                                                    6.40

          Executive summary

8.60                                                                                                                    8.60

9.20    Mar-15-10   Version 1.1   Copyright © Infineon Technologies 2006. All rights reserved.         Page 26 of 51    9.20
12.0                                                                         0.0                                                      8.9             12.0



       One responsibility to manage the whole supply chain. S&OP
       within the SCC SCORE process is within Plan
7.18                                                                                                                                                                7.18
6.80                                                                                                                                                                6.80

6.20                                                                            Plan                                                                                6.20

                    Source                                                      Make                                                       Deliver
5.00                                                                                                                                                                5.00
                             Return                                                                                                Return




                                                                                                                                      Customers
                     Wafer stock        FAB            SORT         DIE BANK        ASSEMBLY            TEST                DC            Consi


                                      Furnace                                             Sawing
                                     Implanting
                                     Deposition      Wafertest                      Die Bonding        Chiptest
                                      Stepper         Sawing                        Wire Bonding       (Burn In)
                                      Etching                                         Molding
                                      Wetting                                       Trim & Form


                     Buffer /         Up to 500 process             Buffer /                                            Buffer /     Buffer /
                                            steps                    Stock                                                            Stock
                      Stock                                                                                              Stock
              Infineon Supplier

        Operations:                                                                                 Market:
          Efficient use of resources                                                                 diversification of product types
          Capital cost reduction idle costs/excess inventory                                         small batches
6.40      no scrap, damage & relocation                                                              fast time-to-customer/market                                 6.40




                                                  ONE            RESPONSIBILITY
8.60                                                                                                                                                                8.60

9.20    Mar-15-10      Version 1.1                               Copyright © Infineon Technologies 2006. All rights reserved.                      Page 27 of 51    9.20
12.0                                                             0.0                                          8.9           12.0




       Integrated Master Data Elements is a Key enabler
       for efficiency between S&OP and Production
7.18                                                                                                                                      7.18
6.80                                                                                                                                      6.80

6.20                                                                                                             Production View          6.20

        Planning Item          PPOS
5.00    aggregated identifier                                                    Manufacturing Item                                       5.00


        that reflects capacity                                                   product & manufacturing route,
        and demand planning                                                      used in for capacity planning
        requirements                                                  FPOS
                                                                                                           Die-Representative
                                                                        FREP                                    Aggreg. supply
                                    RFP                                                                 element; diversification
              RFP Product
                                                                                                                not yet decided
              planning product being
                                                                                                     DREP
              not capacity relevant
                                                            SP
                                Sales Product
                                can be ordered
                                by a customer
                                                                                      FP
                                                   Finished Product
                                                   independent of                                               DC-BNR
                                                   storage location
6.40
                                                                                                       DC BNR finished product            6.40


         S&OP View                                                                                          by storage location

8.60                                                                                                                                      8.60

9.20    17.09.2009                    Copyright © Infineon Technologies 2009. All rights reserved.                           Page 28      9.20
12.0                                                  0.0                          8.9        12.0




7.18
        Table of Contents                                                                                   7.18
6.80                                                                                                        6.80

6.20                                                                                                        6.20


          The semiconductor industry - the global production network ist
                                                                                                            5.00
           the new “Fab”
5.00




          Learning how to put a dollar sign next to S&OP projects to get
           upper management approval

          Spending less time on data problem discussion and more on
           decision making

           Implementing executive level S&OP education and change
            management training

           Promoting the use of what-if scenario analysis to help manage
            risk and optimise decision making

6.40                                                                                                        6.40

          Executive summary

8.60                                                                                                        8.60

9.20    17.09.2009         Copyright © Infineon Technologies 2009. All rights reserved.         Page 29     9.20
12.0                               0.0                       8.9     12.0




7.18
6.80

6.20
              Supply Chain Academy                                                 7.18
                                                                                   6.80

                                                                                   6.20



5.00                                                                               5.00




         The next generation of learning, teaching and knowledge gaining:

           Training modules for the needed Know How for all personnel
           Globally same Processes, Tools and Know How
           Common understanding of S&OP and the entire Supply Chain

6.40                                                                               6.40




8.60                                                                               8.60

9.20                                                                               9.20
12.0                                                                      0.0                          8.9        12.0




7.18
         Basic Set Up of IFX SC Academy                                                                                          7.18
6.80                                                                                                                             6.80

6.20
           Highest level Structure is according to Demand to Cash = SCOR                                                        6.20



5.00        Model – the international Standard for Supply Chains:                                                                5.00



                Plan - Source - Make - Deliver – Return – Enabler


           Most detailed level is usually an intranet based e-learning
            module – called the Training module (TM):
                       “intranet session” (about 20 min) with voice and animation.
                       Training Modules are pushed via an e-Learning Tool to the
                        employees. There are checks, if the target of training was
                        achieved via review questions.
                       Each TM is owned by an expert. He defines the target of each TM,
                        usually produces it and defines the review questions.

6.40                                                                                                                             6.40

           Group of training modules will be supplemented via a class
            room training including serious games
8.60                                                                                                                             8.60

9.20   Mar-15-10        For internal use only   Copyright © Infineon Technologies 2006. All rights reserved.         Page 31     9.20
12.0                                                                 0.0                          8.9        12.0



         Each Classroom training includes a „Serious Game“
         Most often the Beer Game for the Bullwhip effect
7.18
         http://davinci.tamu.edu/beergame/v2/                                                                               7.18
6.80                                                                                                                        6.80

6.20                                                                                                                        6.20



5.00                                                                                                                        5.00




6.40                                                                                                                        6.40




8.60                                                                                                                        8.60

9.20   Mar-15-10   For internal use only   Copyright © Infineon Technologies 2006. All rights reserved.         Page 32     9.20
12.0                                                                     0.0                                             8.9            12.0



         Supply Chain Academy embedded in a Partnership
         Concept ensures executive level attention and trust in
7.18
         necessary changes                                                                                                                             7.18
6.80                                                                                                                                                   6.80

6.20
           The SC Academy uses internationally available training modules for                                                                         6.20



5.00
            Supply Chain (incl. S&OP) for semiconductor and operations research.                                                                       5.00




                      Input from the Network                                                                  Output to the Network




                   Training sessions; Consulting                                                               Set standards; be part of
                                                                                                                 academic education



           The SC Academy works on integrating the courses into academic
            programs with the target to extend the internal appraisals (Career
            development) to external academic recognition – for a contribution
6.40
            to a bachelor’s, master’s, or Ph.D. degree. (ECTS – European Credit                                                                        6.40

            Transfer and Accumulation System).
           Bachelor of SC from University of Limerick starting April 12
8.60                                                                                                                                                   8.60

9.20   Mar-15-10       For internal use only   Copyright © Infineon Technologies 2006. All rights reserved.                                Page 33     9.20
12.0                                                                      0.0                          8.9        12.0




         Get executives to conferences to exchange
7.18
         ideas Trust in standards is increasing                                                                                  7.18
6.80                                                                                                                             6.80

6.20                                                                                                                             6.20

                                   is just great
5.00                                                                                                                             5.00


           Supply Chain Council – the SCOR standard




                   Key note from Prof Hau Lee –
6.40
                              Stanford:                                                                                          6.40

               His paper: “Information Distortion in a
                Supply Chain: The Bullwhip Effect”
                voted as one of the most important papers in business
8.60
                                     science ever                                                                                8.60

9.20   Mar-15-10        For internal use only   Copyright © Infineon Technologies 2006. All rights reserved.         Page 34     9.20
12.0                                                  0.0                          8.9        12.0




7.18
        Table of Contents                                                                                   7.18
6.80                                                                                                        6.80

6.20                                                                                                        6.20


          The semiconductor industry - the global production network ist
                                                                                                            5.00
           the new “Fab”
5.00




          Learning how to put a dollar sign next to S&OP projects to get
           upper management approval

          Spending less time on data problem discussion and more on
           decision making

           Implementing executive level S&OP education and change
            management training

           Promoting the use of what-if scenario analysis to help manage
            risk and optimise decision making

6.40                                                                                                        6.40

          Executive summary

8.60                                                                                                        8.60

9.20    Mar-15-10          Copyright © Infineon Technologies 2006. All rights reserved.         Page 35     9.20
12.0                                   0.0                          8.9        12.0



        What – If scenario
        Could have a significant scrap be reduced based on
7.18
        available information?  No, people did a good job                                   7.18
6.80
              Example of real and simulated inventory of one product with a sudden           6.80


              drop of demand - simulated based on available Forecast and a given
6.20                                                                                         6.20



5.00          leadtime of 16 weeks  Despite best out of the given situation XY’0            5.00


              € needed to be scrapped
                                                    Real Stock Development
                                                     (16w FE+BE leadtime)

                                                      Simul stock development
                                                       (16w FE+BE leadtime)
       €




                                                                Scrap of
                                                                >XY‘0 €
6.40                                                                                         6.40




                                   Time
8.60                                                                                         8.60

9.20    22.05.2007                                                               Page 36     9.20
12.0                              0.0                     8.9         12.0



        What – If scenario
        but a significant scrap could have been reduced if we
7.18
        were faster  Start a speed program                                         7.18
6.80                                                                                6.80

6.20    Inventory development – real/simulated of 16 weeks                          6.20

        compared to 8 weeks one product  80% less scrap
5.00                                                                                5.00




                                               Real Stock Development
        Simul. stock development                (16w FE+BE leadtime)
          (8w FE+BE leadtime)
                                                 Simul. stock development
                                                   (16w FE+BE leadtime)

       €

                                                         >80% less Scrap
                                                         if 8 weeks faster
                                                              2 weeks
6.40
                                                           faster ~ Z‘0 €           6.40



                                                              for scrap
                              Time
8.60                                                                                8.60

9.20    22.05.2007                                                      Page 37     9.20
12.0                                                          0.0                                            8.9               12.0




        Speed program - Strategy is to increase our speed to
7.18
        customer and reduce FG inventory levels (postponement)                                                                               7.18
6.80                                                                                                                                         6.80

6.20                                                                                                                                         6.20

        „All mistakes in forecasting end up in an inventory problem -
5.00
          either lack of products (allocation) in an upturn or excess                                                                        5.00



          inventory in a downturn“

                                                                                                         ~50% value add in
                                                                                                       Backend

                                                                                                         Reduce Finished
              Wafer stock         FAB            SORT     DIE BANK   ASSY   TEST    DC
                                                                                                       Goods inventory
                Buffer/                                    Buffer/                 Buffer/             levels.
                Stock                                      Stock                   Stock

                                                                                                         Further increase
                              Build to Forecast             Make to Order                              speed from Diebank
                                                                                                       to customer.
                                                        Inc. SPEED from DIEBANK
                                                                                                         Reduce overall
                            CT Frontend: 2-3 Months           CT Backend: 3-5 Weeks                    leadtime.


6.40                                                                                                                                         6.40


                                                                                             Diversification is taking place during
                                                                                                     Backend Production!

8.60
                                                        Diebank (Semi                                                                        8.60
                                                        finished Goods             Finished Goods
9.20    22.05.2007                                                                                                             Page 38       9.20
12.0                                                  0.0                          8.9        12.0




7.18
        Table of Contents                                                                                   7.18
6.80                                                                                                        6.80

6.20                                                                                                        6.20


          The semiconductor industry - the global production network is the
                                                                                                            5.00
           new “Fab”
5.00




          Learning how to put a dollar sign next to S&OP projects to get
           upper management approval

          Spending less time on data problem discussion and more on
           decision making

           Implementing executive level S&OP education and change
            management training

           Promoting the use of what-if scenario analysis to help manage
            risk and optimise decision making

6.40                                                                                                        6.40

          Executive summary

8.60                                                                                                        8.60

9.20    Mar-15-10          Copyright © Infineon Technologies 2006. All rights reserved.         Page 39     9.20
12.0                                                 0.0                          8.9        12.0




7.18
        Executive summary                                                                                  7.18
6.80                                                                                                       6.80

6.20
         The (semiconductor) innovation race extends further to global                                    6.20



5.00
          collaborative supply chains; Past challenges amplified and became                                5.00


          future ones (Globalization, Flexibility, Speed)
         Improved S&O Forecast is by far the cheapest way (a$ sign helps!)
          to save costs and increase revenue – significant improvements are
          possible via systematic measurement, root cause analysis and
          operational excellence - solved data and process problems
          improves decision quality
         Education, simulation and serious games are key enablers to bring
          theoretical possible improvements into business success




6.40                                                                                                       6.40




8.60                                                                                                       8.60

9.20    Mar-15-10         Copyright © Infineon Technologies 2006. All rights reserved.         Page 40     9.20
12.0                                           0.0                          8.9        12.0




7.18                                                                                                 7.18
6.80                                                                                                 6.80

6.20                                                                                                 6.20



5.00                                                                                                 5.00




                           Questions ?




6.40                                                                                                 6.40




8.60                                                                                                 8.60

9.20    Mar-15-10   Copyright © Infineon Technologies 2006. All rights reserved.         Page 41     9.20

Más contenido relacionado

Similar a Hans ehm

Anne Glover - Amadeus Capital - UK - Stanford - Jan 7 2013
Anne Glover - Amadeus Capital - UK - Stanford - Jan 7 2013Anne Glover - Amadeus Capital - UK - Stanford - Jan 7 2013
Anne Glover - Amadeus Capital - UK - Stanford - Jan 7 2013
Burton Lee
 
KEY INVESTOR’S RETURNS AND VALUE CONSIDERATIONS IN PVE PROJECT
KEY INVESTOR’S RETURNS AND VALUE CONSIDERATIONS IN PVE PROJECTKEY INVESTOR’S RETURNS AND VALUE CONSIDERATIONS IN PVE PROJECT
KEY INVESTOR’S RETURNS AND VALUE CONSIDERATIONS IN PVE PROJECT
pvsinbloom
 
Investor presentation H1/2010 - COLEXON Energy AG
Investor presentation H1/2010 - COLEXON Energy AGInvestor presentation H1/2010 - COLEXON Energy AG
Investor presentation H1/2010 - COLEXON Energy AG
COLEXON Energy AG
 
Track g when did test - da integrated
Track g   when did test - da integratedTrack g   when did test - da integrated
Track g when did test - da integrated
chiportal
 
AWS 預測性維護與智慧物聯應用
AWS 預測性維護與智慧物聯應用AWS 預測性維護與智慧物聯應用
AWS 預測性維護與智慧物聯應用
Amazon Web Services
 

Similar a Hans ehm (20)

13.spime senselabs
13.spime senselabs13.spime senselabs
13.spime senselabs
 
Smaato - NOAH12 San Francisco
Smaato - NOAH12 San FranciscoSmaato - NOAH12 San Francisco
Smaato - NOAH12 San Francisco
 
Practical innovation
Practical innovationPractical innovation
Practical innovation
 
How to build & run a SaaS with a team of two
How to build & run a SaaS with a team of twoHow to build & run a SaaS with a team of two
How to build & run a SaaS with a team of two
 
Presentación Corporativa Siemens 2012
Presentación Corporativa Siemens 2012Presentación Corporativa Siemens 2012
Presentación Corporativa Siemens 2012
 
Insurance 2020 - Innovating beyond old models
Insurance 2020 - Innovating beyond old modelsInsurance 2020 - Innovating beyond old models
Insurance 2020 - Innovating beyond old models
 
Anne Glover - Amadeus Capital - UK - Stanford - Jan 7 2013
Anne Glover - Amadeus Capital - UK - Stanford - Jan 7 2013Anne Glover - Amadeus Capital - UK - Stanford - Jan 7 2013
Anne Glover - Amadeus Capital - UK - Stanford - Jan 7 2013
 
David Linger - Open Innovation
David Linger - Open InnovationDavid Linger - Open Innovation
David Linger - Open Innovation
 
Stora Enso CMD - Presentation by Hannu Kasurinen, EVP, Building and Living
Stora Enso CMD - Presentation by Hannu Kasurinen, EVP, Building and LivingStora Enso CMD - Presentation by Hannu Kasurinen, EVP, Building and Living
Stora Enso CMD - Presentation by Hannu Kasurinen, EVP, Building and Living
 
The Industrialist: Trends & Innovations - May 2022
The Industrialist: Trends & Innovations - May 2022The Industrialist: Trends & Innovations - May 2022
The Industrialist: Trends & Innovations - May 2022
 
KEY INVESTOR’S RETURNS AND VALUE CONSIDERATIONS IN PVE PROJECT
KEY INVESTOR’S RETURNS AND VALUE CONSIDERATIONS IN PVE PROJECTKEY INVESTOR’S RETURNS AND VALUE CONSIDERATIONS IN PVE PROJECT
KEY INVESTOR’S RETURNS AND VALUE CONSIDERATIONS IN PVE PROJECT
 
The anatomy of an entrepreneur
The anatomy of an entrepreneurThe anatomy of an entrepreneur
The anatomy of an entrepreneur
 
Investor presentation H1/2010 - COLEXON Energy AG
Investor presentation H1/2010 - COLEXON Energy AGInvestor presentation H1/2010 - COLEXON Energy AG
Investor presentation H1/2010 - COLEXON Energy AG
 
Analysis of Revenue guidance - Infosys
Analysis of Revenue guidance - InfosysAnalysis of Revenue guidance - Infosys
Analysis of Revenue guidance - Infosys
 
Session1 cdm eligibility of prosol (amel bida, rcreee)
Session1 cdm eligibility of prosol (amel bida, rcreee)Session1 cdm eligibility of prosol (amel bida, rcreee)
Session1 cdm eligibility of prosol (amel bida, rcreee)
 
Track g when did test - da integrated
Track g   when did test - da integratedTrack g   when did test - da integrated
Track g when did test - da integrated
 
Romanian online-advertising-revenue-study h1-12-v3
Romanian online-advertising-revenue-study h1-12-v3Romanian online-advertising-revenue-study h1-12-v3
Romanian online-advertising-revenue-study h1-12-v3
 
Future of Semiconductor Business and Innovation (April, 2012).pdf
Future of Semiconductor Business and Innovation (April, 2012).pdfFuture of Semiconductor Business and Innovation (April, 2012).pdf
Future of Semiconductor Business and Innovation (April, 2012).pdf
 
AWS 預測性維護與智慧物聯應用
AWS 預測性維護與智慧物聯應用AWS 預測性維護與智慧物聯應用
AWS 預測性維護與智慧物聯應用
 
Board_Report_2011_2907randyrob_group2_AI-draft34
Board_Report_2011_2907randyrob_group2_AI-draft34Board_Report_2011_2907randyrob_group2_AI-draft34
Board_Report_2011_2907randyrob_group2_AI-draft34
 

Último

Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
amitlee9823
 
FULL ENJOY Call Girls In Majnu Ka Tilla, Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Majnu Ka Tilla, Delhi Contact Us 8377877756FULL ENJOY Call Girls In Majnu Ka Tilla, Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Majnu Ka Tilla, Delhi Contact Us 8377877756
dollysharma2066
 
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
dlhescort
 
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
amitlee9823
 
Nelamangala Call Girls: 🍓 7737669865 🍓 High Profile Model Escorts | Bangalore...
Nelamangala Call Girls: 🍓 7737669865 🍓 High Profile Model Escorts | Bangalore...Nelamangala Call Girls: 🍓 7737669865 🍓 High Profile Model Escorts | Bangalore...
Nelamangala Call Girls: 🍓 7737669865 🍓 High Profile Model Escorts | Bangalore...
amitlee9823
 
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
lizamodels9
 
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabiunwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
Abortion pills in Kuwait Cytotec pills in Kuwait
 

Último (20)

Dr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdfDr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
 
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
 
FULL ENJOY Call Girls In Majnu Ka Tilla, Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Majnu Ka Tilla, Delhi Contact Us 8377877756FULL ENJOY Call Girls In Majnu Ka Tilla, Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Majnu Ka Tilla, Delhi Contact Us 8377877756
 
Uneak White's Personal Brand Exploration Presentation
Uneak White's Personal Brand Exploration PresentationUneak White's Personal Brand Exploration Presentation
Uneak White's Personal Brand Exploration Presentation
 
Eluru Call Girls Service ☎ ️93326-06886 ❤️‍🔥 Enjoy 24/7 Escort Service
Eluru Call Girls Service ☎ ️93326-06886 ❤️‍🔥 Enjoy 24/7 Escort ServiceEluru Call Girls Service ☎ ️93326-06886 ❤️‍🔥 Enjoy 24/7 Escort Service
Eluru Call Girls Service ☎ ️93326-06886 ❤️‍🔥 Enjoy 24/7 Escort Service
 
RSA Conference Exhibitor List 2024 - Exhibitors Data
RSA Conference Exhibitor List 2024 - Exhibitors DataRSA Conference Exhibitor List 2024 - Exhibitors Data
RSA Conference Exhibitor List 2024 - Exhibitors Data
 
Phases of Negotiation .pptx
 Phases of Negotiation .pptx Phases of Negotiation .pptx
Phases of Negotiation .pptx
 
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
 
Marel Q1 2024 Investor Presentation from May 8, 2024
Marel Q1 2024 Investor Presentation from May 8, 2024Marel Q1 2024 Investor Presentation from May 8, 2024
Marel Q1 2024 Investor Presentation from May 8, 2024
 
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
 
Famous Olympic Siblings from the 21st Century
Famous Olympic Siblings from the 21st CenturyFamous Olympic Siblings from the 21st Century
Famous Olympic Siblings from the 21st Century
 
Nelamangala Call Girls: 🍓 7737669865 🍓 High Profile Model Escorts | Bangalore...
Nelamangala Call Girls: 🍓 7737669865 🍓 High Profile Model Escorts | Bangalore...Nelamangala Call Girls: 🍓 7737669865 🍓 High Profile Model Escorts | Bangalore...
Nelamangala Call Girls: 🍓 7737669865 🍓 High Profile Model Escorts | Bangalore...
 
Business Model Canvas (BMC)- A new venture concept
Business Model Canvas (BMC)-  A new venture conceptBusiness Model Canvas (BMC)-  A new venture concept
Business Model Canvas (BMC)- A new venture concept
 
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
 
JAYNAGAR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
JAYNAGAR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRLJAYNAGAR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
JAYNAGAR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
 
(Anamika) VIP Call Girls Napur Call Now 8617697112 Napur Escorts 24x7
(Anamika) VIP Call Girls Napur Call Now 8617697112 Napur Escorts 24x7(Anamika) VIP Call Girls Napur Call Now 8617697112 Napur Escorts 24x7
(Anamika) VIP Call Girls Napur Call Now 8617697112 Napur Escorts 24x7
 
Call Girls Service In Old Town Dubai ((0551707352)) Old Town Dubai Call Girl ...
Call Girls Service In Old Town Dubai ((0551707352)) Old Town Dubai Call Girl ...Call Girls Service In Old Town Dubai ((0551707352)) Old Town Dubai Call Girl ...
Call Girls Service In Old Town Dubai ((0551707352)) Old Town Dubai Call Girl ...
 
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
 
Organizational Transformation Lead with Culture
Organizational Transformation Lead with CultureOrganizational Transformation Lead with Culture
Organizational Transformation Lead with Culture
 
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabiunwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
 

Hans ehm

  • 1. 12.0 0.0 8.9 12.0 Business Forecasting and Planning 7.18 6.80 summit 7.18 6.80 6.20 6.20 5.00 5.00 Challenges and innovative solutions of Business Forecasting and Planning Amsterdam, March 12th 2010 6.40 6.40 Hans Ehm 8.60 8.60 9.20 9.20
  • 2. 12.0 0.0 8.9 12.0 7.18 Table of Contents 7.18 6.80 6.80 6.20 6.20   The semiconductor industry - the global production network is the 5.00 new “Fab” 5.00   Learning how to put a dollar sign next to S&OP projects to get upper management approval   Spending less time on data problem discussion and more on decision making   Implementing executive level S&OP education and change management training   Promoting the use of what-if scenario analysis to help manage risk and optimise decision making 6.40 6.40   Executive summary 8.60 8.60 9.20 Mar-15-10 Copyright © Infineon Technologies 2006. All rights reserved. Page 2 9.20
  • 3. 12.0 0.0 8.9 12.0 7.18 Infineon – products – we produce chips 7.18 6.80 6.80 6.20 6.20 5.00 5.00 NO 6.40 6.40 Zero Calories and 100 Percent Innovation! 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 3 9.20
  • 4. 12.0 0.0 8.9 12.0 7.18 Infineon at a Glance 7.18 6.80 6.80 6.20 6.20 The Company 5.00 5.00   Infineon provides semiconductor and system solutions, focusing on three central needs of our modern society: Energy efficiency, Communications and Security   Revenue in FY 2009: 3.027 billion EUR   Some 26,000 employees worldwide (as of Sept 2009)   Strong technology portfolio with about 22,900 patents and patent applications   More than 30 major R&D locations   Germany‘s largest semiconductor company 6.40 6.40 8.60 8.60 9.20 11/19/2009 17.09.2009 Copyright © Infineon Technologies 2009. 2009. All rights reserved. Copyright © Infineon Technologies All rights reserved. Page 4 9.20
  • 5. Focus Areas and Target Markets Revenue Split by Focus Area FY 2009 revenue split Industrial & Multimarket Automotive Energy Efficiency: EUR 1744m Communications: Security: EUR 917m EUR 341m Wireless Chip Card Solutions & Security 11/19/2009 Mar-15-10 Copyright © Infineon Technologies 2009. All rights reserved. Page 5
  • 6. 12.0 0.0 8.9 12.0 The Semiconductor Environment – an Industry Comparison 7.18 (not really serious … but easy to memorize) 7.18 6.80 6.80 6.20 6.20 5.00 5.00 If the automotive and aircraft industries developed at the same rates as semiconductors in the past 30 years:   a Rolls Royce would cost $2.75 and get 3 million miles a gallon   a Boeing 767 would cost $500 and circle the globe in 20 minutes on five gallons of gas. Source: Jeffrey Rayport, professor at Harvard Business School; Co-author of books on strategy in the network economy. 6.40 6.40 Why? 8.60 8.60 9.20 Mar-15-10 Version 1.1 Copyright © Infineon Technologies 2006. All rights reserved. Page 6 of 51 9.20
  • 7. 12.0 0.0 8.9 12.0 Innovation of the First Transistor on Dec 23rd 1947. 7.18 The Starting Point of the Semiconductor Industry 7.18 6.80 6.80 6.20 5.00 Because and 6.20 5.00 6.40 6.40 Bell Labs 600 Mountain Ave. Murray Hill, NY, USA 8.60 8.60 9.20 Mar-15-10 Version 1.1 Copyright © Infineon Technologies 2006. All rights reserved. Page 7 of 51 9.20
  • 8. 12.0 0.0 8.9 12.0 Enormous development of semiconductors – 7.18 costs per function decline 30% each year 7.18 6.80 6.80 6.20 6.20 Moore’s Law: 5.00 5.00 Doubling time is 18 months 512 Mbit " 109 minimum feature size [µm] 256 Mbit at microprocessor-chips " 108 ♦ 64 Mbit " 0,1 ♦ Pentium IV 16 Mbit " ♦ Pentium III 107 transistors / " ♦ Pentium II 4 Mbit ♦ 106 80286 " ♦ " ♦ 1 chip 105 Pentium ® ♦ 4004 80486 68000 ♦ 104 8086 80386 ♦ 8080 6.40 6.40 ♦ 10 103 1970 1975 1980 1985 1990 1995 2000 2005 2010 8.60 8.60 Copyright © Infineon Technologies 2009. All rights reserved. Mar- 9.20 Page 8 9.20
  • 9. 12.0 0.0 8.9 12.0 Semiconductor Characteristics: Steep ramps, short life cycles and long Lead times 7.18 7.18 6.80 % of semiconductors of final product: 6.80 6.20 6.20 2% 12 % 30 % 35-40 % 5.00 5.00 1000 m TV color PC GSM 100 m VCR TV b&w   Steep Product Ramps 10 m   Short Lifecycle 1m Ipod 1950 1960 1970 1980 1990 2000 2010 Sources : Dataquest, ST shown at IEF 2005, Malta (some wording changed) ~ 3 months Suppliers Semiconductor Customer Custo Industry mer of our   Long Lead Time Custo mer & 6.40 Consu   Early in Value Chain 6.40 mer 8.60 ~ 4 months 8.60 9.20 Mar-15-10 For internal use only Copyright © Infineon Technologies 2006. All rights reserved. Page 9 9.20
  • 10. 12.0 0.0 8.9 12.0 The challenge beyond managing steep ramps is to differentiate between booming products and those slower ramping as 7.18 forecasted - Infineon examples 7.18 6.80 6.80 6.20 6.20 5.00 5.00 40 m P1 ramped faster than forecasted  Risk of missing Business 30 m chance P1 20 m P2 – ramped slower than forecasted  10 m Risk of Stock; Scrap; & Idle Capacity 6.40 6.40 0 Mar 07 June 07 Sep 07 Dec 07 FC Actuals 8.60 8.60 9.20 Copyright © Infineon Technologies 2009. All rights reserved. Page 10 9.20
  • 11. 12.0 0.0 8.9 12.0 The Main process acc. To SCOR in the Semiconductor Industry is Make “Produce and Test”. It is Grouped into 7.18 Front End and Back End Process Separated by a DieBank 7.18 6.80 6.80 6.20 6.20 5.00 5.00 Front End & Back End Front End (CT 40-100d) Back End (CT 5-20d) CT: Cycle Time FAB SORT DIEBANK ASSEMBLY TEST DC Furnace Sawing Up to 500 Implanting process Deposition Wafertest Die Bonding Chiptest 6.40 steps Stepper Wire Bonding (Burn In) 6.40 Etching Moulding Wetting Trim & Form 8.60 Stock Stock 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 11 9.20
  • 12. 12.0 0.0 8.9 12.0 Front End Consists of a Series of Chemical and 7.18 Physical Processes - Basic Process Steps 7.18 6.80 6.80 6.20 6.20 5.00 5.00 Lithography Etching Chemo-Mechanical- Polishing (CMP) Doping (Ion Implantation and Layering: Physical Diffusion) Vapor Deposition Layering: (PVD) Layering: Oxidation Chemical Vapor Deposition 6.40 (CVD) 6.40 Cleaning and control 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 12 9.20
  • 13. 12.0 0.0 8.9 12.0 Up to 35 Revolving Lithography Steps per Wafer 7.18 Transform the Design via Masks to the Wafer … 7.18 6.80 6.80 6.20 6.20 … generating a three dimensional" landscape on the wafer surface 5.00 5.00 Lithography method: one chip after 7 6 another is exposed 5 4 3 2 1 Other processes as etching, doping, layering can change the surface of the wafer permanently on the places that were exposed within Next exposure step – up to 35 revolving steps lithography. 6.40 6.40 Layering, Doping or Etching 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 13 9.20
  • 14. 12.0 0.0 8.9 12.0 The Frontend SC consisting of 500 process steps+ (many are revolving). It runs at a Flow Factor of 2,5 to 3 times the 7.18 raw process time (base is 365 days a year 24 hours a day) 7.18 6.80 6.80 6.20 6.20 FURNACE - Oxid IMPLANT Defect Density DRY ETCHING PVD - Sputtering 5.00 5.00 START   CT: Cycle Time SORT   RPT: Raw Process Time 6.40 6.40 CMP WET METROLOGY * LITHOGRAPHY CVD * one test step after lithography 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 14 9.20
  • 15. 12.0 0.0 8.9 12.0 Backend Process: 7.18 From Chip at Die Bank to Finished Good 7.18 6.80 6.80 6.20 6.20 5.00 5.00 Wafer & Material Die Wire Trim Mark Tube Saw Mold Plate Singl Test Pack Attach Bond Form Scan ASSEMBLY PROCESS TESTING PROCESS Tray Tape 6.40 6.40 Finished Goods 8.60 8.60 9.20 Mar-15-10 Copyright © Infineon Technologies 2006. All rights reserved. Page 15 9.20
  • 16. 12.0 0.0 8.9 12.0 IFX today has a global production network comprising Frontend, Backend, Sifos and Subcons 7.18 7.18 6.80 6.80 6.20 6.20 5.00 Malacca 5.00 Wuxi ALTIS SMIC Nantong ASE AMKOR Zarlink UMC Dresden Kulim Batam Triquint TSMC Singapore Regensburg Warstein Cegléd Villach PSI IBM STATSChipPAC Unisem CHRT Carsem UTAC 6.40 6.40 SIFO SUBCON FE 8.60 8.60 BE 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 16 9.20
  • 17. 12.0 0.0 8.9 12.0 Fast product ramps in the past required mainly to manage internal Frontend SC fast and cost efficient 7.18 7.18 6.80 6.80 6.20 6.20 FURNACE IMPLANT Defect Density ETCHING PVD 5.00 5.00 Fab Dresden - GE START SORT 6.40 6.40 CMP WET METROLOGY * LITHOGRAPHY CVD 8.60 * test step according to lithography 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 17 9.20
  • 18. 12.0 0.0 8.9 12.0 Today’s ramps benefit from a global production flexibility – The global SC is our new “Fab” 7.18 7.18 6.80 6.80 May08 additionalchain wasSORT(ASE) ~(sort at WOPW 6000 out Aug07:(Plan): otherfabcapa ~(sort at subcon 000 SIN)WOPW via July07: ", additional assy and Test(ASE)Assy9000 Wafer Sep07: Flex:technical possiblestep inWafer 200winWOPWout WOPW April07:flexbumpingASE (Altis)~ DDWaferproductive out Mar07: only 2ndbumping &2nd(UMC8D) WaferAMKOR and July07: and additional Fab flexibilityis June07:additional routes + 3600 & Bump alternative Fab Each new supplyFE test subconbump SIN 103100 customers 6.20 Feb07:Wafer out assy capaa 1600forwardout 10 SC July07: additional with this ~ 170 Wafer ~ to out 000 Wafer Aug07: aditionnaltest + subcon 5300 ~ out 2800 June07:", and other routes first (AMKOR) out ~ Wafer ~ Jan08: ASE … WOPW ALTIS) delivering (Unitive was the bottleneck) ~ 300 ~ 7500 established ALTIS 6.20 capa increase in less than one year 5.00 5.00 Fab Germany Fab Bumping B) Taiwan Assy Philipines SORT after Assy SIN Ship from SIN Taiwan Bump Altis - FR Start DCA DCU DCE 6.40 Bumping A) SORT after SORT after Assy Final Test SIN) 6.40 Fab France Taiwan Bump Germany Bump SIN Stock DCx Taiwan IFX Asset Fab Test (SORT&FinalTest) Not shown is Final Test Flex Bumping Assembly within 8.60 Subcon or SiFo 8.60 Singapore 9.20 Mar-15-10 Version 1.1 Copyright © Infineon Technologies 2006. All rights reserved. Page 18 of 51 9.20
  • 19. 12.0 0.0 8.9 12.0 A Top to Bottom S&OP Process is a key enabler to 7.18 master this complexity, flexibility and speed requirements 7.18 6.80 6.80 Actuals (Billings, 6.20 6.20 Orders, Reservations) Customer -Target: unconstrained FC Unconstrained Forecasts 1) S&OP Demand Marketing -Horizon: 12m Planning Marketing 5.00 Sales Planning Forecasts -Gran: m/Rroduct (=Requests) (PPOS) 5.00 PLANNING Sales Forecasts (VRFC) (Actual & Past) -Target: constrained FC committed capa. -Horizon: 12m -Gran: w/FPOS/PL Sales Forecasts of next Volume & Capacity 3) S&OP CAPACITY -Target: supply plan for order commit month & Constrained Planning (VRFC) Forecasts PLANNING -Horizon:Constrained 26w, >26w infinite Forecasts Forecasts & -Gran: d,w/FP (=Promises) Capacity Allocation Allocations <= Today / GIT (d/FP) <= BE FF (w/FP) &Orders Process Reservations <= FE FF (w/FP based on Die Rep) Operational Planning 26w (w/FP based on FPOS) -Target: order <= Requests confirmation to Promises Production Delivery customer Requests Promises -Horizon: 52w 4) S&OP SUPPLY from plants -Gran: d/SP PLANNING -Target: min & max target SAP FEST Sub- BEST Sub- stocks Process Process Module SD -Horizon: a) 12m; b) 12w -Gran: a) m/FPOS; b) w/FP 6.40 -Work-In-Progress Master Master Orders &5) ORDER Reservations Confirmations 6.40 -Actual DB/DC Stocks Plan FE Plan BE 2) S&OP PRODUCTION -Min/Max Stock Targets MANAGEMENT MANAGEMENT & INVENTORY Frontend HANDLING Backend 8.60 8.60 9.20 Mar-15-10 Copyright © Infineon Technologies 2006. All rights reserved. Page 19 9.20
  • 20. 12.0 0.0 8.9 12.0 7.18 Table of Contents 7.18 6.80 6.80 6.20 6.20   The semiconductor industry - the global production network is the 5.00 new “Fab” 5.00   Learning how to put a dollar sign next to S&OP projects to get upper management approval   Spending less time on data problem discussion and more on decision making   Implementing executive level S&OP education and change management training   Promoting the use of what-if scenario analysis to help manage risk and optimise decision making 6.40 6.40   Executive summary 8.60 8.60 9.20 Mar-15-10 Version 1.1 Copyright © Infineon Technologies 2006. All rights reserved. Page 20 of 51 9.20
  • 21. 12.0 0.0 8.9 12.0 Improved F/C Accuracy is the target of S&OP and by far the cheapest way to handle Uncertainty 7.18 1) A $ Sign of missing forecast accuracy 7.18 6.80 6.80 6.20 6.20 Time horizon 1-3 months 4-6 months 7-12 months 5.00 5.00 Wrong product mix: Backend capacity: Frontend capacity: -  increased stocks - underutilization - underutilization Mechanism -  scrap - subcontractor cost - foundry cost adder -  missed adder opportunities Cost vs 100% FC accuracy ~xb’ € ~ yb’ € ~ zb’ € Cost Vs 100% FC 6.40 accuracy 6.40 ~xxb’ € 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 21 9.20
  • 22. 12.0 0.0 8.9 12.0 Improved F/C Accuracy is the target of S&OP and by far the cheapest way to handle Uncertainty 7.18 2) Measure Forecast Accuracy 7.18 6.80 6.80 6.20   FC accuracy is the deviation of the Sales FC vs actual billings. 6.20 5.00   Symmetric Mean Absolute Percentage Error (SMAPE): 5.00   Example: 1.) Calculation of positive & negative balance 2.) Summation of Forecast, Billings 4.) F/C Accuracy acc. and Balance is 100% minus absolute of positive and negative Percentage 6.40 3.) Percentage of 6.40 Balance to Sum of Forecast & Billings 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 22 9.20
  • 23. 12.0 0.0 8.9 12.0 Improved F/C Accuracy is the target of S&OP and by far the cheapest way to handle Uncertainty - a $ sign helps 7.18 3) Set up a process – an example 7.18 6.80 6.80 6.20 6.20 1.  Analyze historical data per division, region, sales planner and 5.00 customer. 5.00 2.  Set target based on experience/best engineering judgment (e.g. 30% of sales planner achieve 80% accuracy, why not the rest?) 3.  Calculate the potential Euro savings if target is achieved per division, region, sales planner and customer. 4.  Monthly reporting (performance, potential Euro savings and rough analysis per customer and per sales planner) 5.  Monthly alignment Division/Sales/Supply Chain and definition of major action items. 6.40 6.40 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 23 9.20
  • 24. 12.0 0.0 8.9 12.0 Improved F/C Accuracy is the target of S&OP and by far the cheapest way to handle Uncertainty – a$ sign helps 7.18 4) Implement process and reporting 7.18 6.80 6.80 6.20 6.20 5.00 5.00 FC – accuracy FC – accuracy per DIVISION per REGION Target Potential per Sales Planner in region AP Over-planning Target Potential 6.40 per DIVISION per SALES PLANNER 6.40 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 24 9.20
  • 25. 12.0 0.0 8.9 12.0 Improved F/C Accuracy is the target of S&OP and by far the cheapest way to handle Uncertainty – a$ sign helps 7.18 5) Get achievements and … 7.18 6.80 6.80 6.20   FC accuracy improved by ~10% within 15 months 6.20 5.00   The improved FC accuracy leads to a saving of XY’ Euro 5.00 Time horizon 1-3 months 4-6 months 7-12 months ~ xa‘ € ~xb‘ ya‘ ~ yb‘ € ~ za‘ € ~ zb Cost Impact after impact Improvement reference 6.40 xxb‘ € xxa‘ 6.40 * gross stock value DB+DC @ 15% capital cost 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 25 9.20
  • 26. 12.0 0.0 8.9 12.0 7.18 Table of Contents 7.18 6.80 6.80 6.20 6.20   The semiconductor industry - the global production network is the 5.00 new “Fab” 5.00   Learning how to put a dollar sign next to S&OP projects to get upper management approval   Spending less time on data problem discussion and more on decision making   Implementing executive level S&OP education and change management training   Promoting the use of what-if scenario analysis to help manage risk and optimise decision making 6.40 6.40   Executive summary 8.60 8.60 9.20 Mar-15-10 Version 1.1 Copyright © Infineon Technologies 2006. All rights reserved. Page 26 of 51 9.20
  • 27. 12.0 0.0 8.9 12.0 One responsibility to manage the whole supply chain. S&OP within the SCC SCORE process is within Plan 7.18 7.18 6.80 6.80 6.20 Plan 6.20 Source Make Deliver 5.00 5.00 Return Return Customers Wafer stock FAB SORT DIE BANK ASSEMBLY TEST DC Consi Furnace Sawing Implanting Deposition Wafertest Die Bonding Chiptest Stepper Sawing Wire Bonding (Burn In) Etching Molding Wetting Trim & Form Buffer / Up to 500 process Buffer / Buffer / Buffer / steps Stock Stock Stock Stock Infineon Supplier Operations: Market:   Efficient use of resources   diversification of product types   Capital cost reduction idle costs/excess inventory   small batches 6.40   no scrap, damage & relocation   fast time-to-customer/market 6.40 ONE RESPONSIBILITY 8.60 8.60 9.20 Mar-15-10 Version 1.1 Copyright © Infineon Technologies 2006. All rights reserved. Page 27 of 51 9.20
  • 28. 12.0 0.0 8.9 12.0 Integrated Master Data Elements is a Key enabler for efficiency between S&OP and Production 7.18 7.18 6.80 6.80 6.20 Production View 6.20 Planning Item PPOS 5.00 aggregated identifier Manufacturing Item 5.00 that reflects capacity product & manufacturing route, and demand planning used in for capacity planning requirements FPOS Die-Representative FREP Aggreg. supply RFP element; diversification RFP Product not yet decided planning product being DREP not capacity relevant SP Sales Product can be ordered by a customer FP Finished Product independent of DC-BNR storage location 6.40 DC BNR finished product 6.40 S&OP View by storage location 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 28 9.20
  • 29. 12.0 0.0 8.9 12.0 7.18 Table of Contents 7.18 6.80 6.80 6.20 6.20   The semiconductor industry - the global production network ist 5.00 the new “Fab” 5.00   Learning how to put a dollar sign next to S&OP projects to get upper management approval   Spending less time on data problem discussion and more on decision making   Implementing executive level S&OP education and change management training   Promoting the use of what-if scenario analysis to help manage risk and optimise decision making 6.40 6.40   Executive summary 8.60 8.60 9.20 17.09.2009 Copyright © Infineon Technologies 2009. All rights reserved. Page 29 9.20
  • 30. 12.0 0.0 8.9 12.0 7.18 6.80 6.20 Supply Chain Academy 7.18 6.80 6.20 5.00 5.00 The next generation of learning, teaching and knowledge gaining:   Training modules for the needed Know How for all personnel   Globally same Processes, Tools and Know How   Common understanding of S&OP and the entire Supply Chain 6.40 6.40 8.60 8.60 9.20 9.20
  • 31. 12.0 0.0 8.9 12.0 7.18 Basic Set Up of IFX SC Academy 7.18 6.80 6.80 6.20   Highest level Structure is according to Demand to Cash = SCOR 6.20 5.00 Model – the international Standard for Supply Chains: 5.00 Plan - Source - Make - Deliver – Return – Enabler   Most detailed level is usually an intranet based e-learning module – called the Training module (TM):   “intranet session” (about 20 min) with voice and animation.   Training Modules are pushed via an e-Learning Tool to the employees. There are checks, if the target of training was achieved via review questions.   Each TM is owned by an expert. He defines the target of each TM, usually produces it and defines the review questions. 6.40 6.40   Group of training modules will be supplemented via a class room training including serious games 8.60 8.60 9.20 Mar-15-10 For internal use only Copyright © Infineon Technologies 2006. All rights reserved. Page 31 9.20
  • 32. 12.0 0.0 8.9 12.0 Each Classroom training includes a „Serious Game“ Most often the Beer Game for the Bullwhip effect 7.18 http://davinci.tamu.edu/beergame/v2/ 7.18 6.80 6.80 6.20 6.20 5.00 5.00 6.40 6.40 8.60 8.60 9.20 Mar-15-10 For internal use only Copyright © Infineon Technologies 2006. All rights reserved. Page 32 9.20
  • 33. 12.0 0.0 8.9 12.0 Supply Chain Academy embedded in a Partnership Concept ensures executive level attention and trust in 7.18 necessary changes 7.18 6.80 6.80 6.20   The SC Academy uses internationally available training modules for 6.20 5.00 Supply Chain (incl. S&OP) for semiconductor and operations research. 5.00 Input from the Network Output to the Network Training sessions; Consulting Set standards; be part of academic education   The SC Academy works on integrating the courses into academic programs with the target to extend the internal appraisals (Career development) to external academic recognition – for a contribution 6.40 to a bachelor’s, master’s, or Ph.D. degree. (ECTS – European Credit 6.40 Transfer and Accumulation System).   Bachelor of SC from University of Limerick starting April 12 8.60 8.60 9.20 Mar-15-10 For internal use only Copyright © Infineon Technologies 2006. All rights reserved. Page 33 9.20
  • 34. 12.0 0.0 8.9 12.0 Get executives to conferences to exchange 7.18 ideas Trust in standards is increasing 7.18 6.80 6.80 6.20 6.20   is just great 5.00 5.00   Supply Chain Council – the SCOR standard Key note from Prof Hau Lee – 6.40 Stanford: 6.40 His paper: “Information Distortion in a Supply Chain: The Bullwhip Effect” voted as one of the most important papers in business 8.60 science ever 8.60 9.20 Mar-15-10 For internal use only Copyright © Infineon Technologies 2006. All rights reserved. Page 34 9.20
  • 35. 12.0 0.0 8.9 12.0 7.18 Table of Contents 7.18 6.80 6.80 6.20 6.20   The semiconductor industry - the global production network ist 5.00 the new “Fab” 5.00   Learning how to put a dollar sign next to S&OP projects to get upper management approval   Spending less time on data problem discussion and more on decision making   Implementing executive level S&OP education and change management training   Promoting the use of what-if scenario analysis to help manage risk and optimise decision making 6.40 6.40   Executive summary 8.60 8.60 9.20 Mar-15-10 Copyright © Infineon Technologies 2006. All rights reserved. Page 35 9.20
  • 36. 12.0 0.0 8.9 12.0 What – If scenario Could have a significant scrap be reduced based on 7.18 available information?  No, people did a good job 7.18 6.80 Example of real and simulated inventory of one product with a sudden 6.80 drop of demand - simulated based on available Forecast and a given 6.20 6.20 5.00 leadtime of 16 weeks  Despite best out of the given situation XY’0 5.00 € needed to be scrapped Real Stock Development (16w FE+BE leadtime) Simul stock development (16w FE+BE leadtime) € Scrap of >XY‘0 € 6.40 6.40 Time 8.60 8.60 9.20 22.05.2007 Page 36 9.20
  • 37. 12.0 0.0 8.9 12.0 What – If scenario but a significant scrap could have been reduced if we 7.18 were faster  Start a speed program 7.18 6.80 6.80 6.20 Inventory development – real/simulated of 16 weeks 6.20 compared to 8 weeks one product  80% less scrap 5.00 5.00 Real Stock Development Simul. stock development (16w FE+BE leadtime) (8w FE+BE leadtime) Simul. stock development (16w FE+BE leadtime) € >80% less Scrap if 8 weeks faster  2 weeks 6.40 faster ~ Z‘0 € 6.40 for scrap Time 8.60 8.60 9.20 22.05.2007 Page 37 9.20
  • 38. 12.0 0.0 8.9 12.0 Speed program - Strategy is to increase our speed to 7.18 customer and reduce FG inventory levels (postponement) 7.18 6.80 6.80 6.20 6.20 „All mistakes in forecasting end up in an inventory problem - 5.00 either lack of products (allocation) in an upturn or excess 5.00 inventory in a downturn“   ~50% value add in Backend   Reduce Finished Wafer stock FAB SORT DIE BANK ASSY TEST DC Goods inventory Buffer/ Buffer/ Buffer/ levels. Stock Stock Stock   Further increase Build to Forecast Make to Order speed from Diebank to customer. Inc. SPEED from DIEBANK   Reduce overall CT Frontend: 2-3 Months CT Backend: 3-5 Weeks leadtime. 6.40 6.40 Diversification is taking place during Backend Production! 8.60 Diebank (Semi 8.60 finished Goods Finished Goods 9.20 22.05.2007 Page 38 9.20
  • 39. 12.0 0.0 8.9 12.0 7.18 Table of Contents 7.18 6.80 6.80 6.20 6.20   The semiconductor industry - the global production network is the 5.00 new “Fab” 5.00   Learning how to put a dollar sign next to S&OP projects to get upper management approval   Spending less time on data problem discussion and more on decision making   Implementing executive level S&OP education and change management training   Promoting the use of what-if scenario analysis to help manage risk and optimise decision making 6.40 6.40   Executive summary 8.60 8.60 9.20 Mar-15-10 Copyright © Infineon Technologies 2006. All rights reserved. Page 39 9.20
  • 40. 12.0 0.0 8.9 12.0 7.18 Executive summary 7.18 6.80 6.80 6.20   The (semiconductor) innovation race extends further to global 6.20 5.00 collaborative supply chains; Past challenges amplified and became 5.00 future ones (Globalization, Flexibility, Speed)   Improved S&O Forecast is by far the cheapest way (a$ sign helps!) to save costs and increase revenue – significant improvements are possible via systematic measurement, root cause analysis and operational excellence - solved data and process problems improves decision quality   Education, simulation and serious games are key enablers to bring theoretical possible improvements into business success 6.40 6.40 8.60 8.60 9.20 Mar-15-10 Copyright © Infineon Technologies 2006. All rights reserved. Page 40 9.20
  • 41. 12.0 0.0 8.9 12.0 7.18 7.18 6.80 6.80 6.20 6.20 5.00 5.00 Questions ? 6.40 6.40 8.60 8.60 9.20 Mar-15-10 Copyright © Infineon Technologies 2006. All rights reserved. Page 41 9.20