SlideShare a Scribd company logo
1 of 4
Download to read offline
Pankaj Kumar et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.462-465
www.ijera.com 462 | P a g e
Design and Analysis of GDI Based Full Adder Circuit for Low
Power Applications
Pankaj Kumar1
, Poonam Yadav2
1
Assistant Professor,Department of Electronics, G.D Goenka World Institute, Gurgaon, India.
2
M.Tech,Manav Rachna International University, Faridabad, India.
ABSTRACT:
Full adder circuit is an essential component for designing of various digital systems. It is used for
different applications such as Digital signal processor, microcontroller, microprocessor and data
processing units. Due to scaling trends and portability of electronic devices there is a high demand
and need for low power and high speed digital circuits with small silicon area. So, design and
analysis of low power and high performance adders are of great interest and any modification made to
the full adder circuit would affect the performance of the entire system. This paper describes the
design and analysis of GDI based 1-bit full adder circuit for low power applications. GDI technique is
used to reduce power consumption, propagation delay while maintaining low complexity of logic
design. Here we have introduced a 11-T GDI based full adder circuit which can be used for low power
applications. The proposed circuit is better than the existing technique in terms of average power and
speed with minimum area penalty. Simulations are based on BPTM model and have been carried out
by Tanner EDA tool on 180nm, 90nm, 65nm and 45nm technology.
Keywords: Delay, Full adder, GDI (Gate Diffusion Input), Low Power.
I. INTRODUCTION
Binary addition is the basic operation found
in most arithmetic components. Computation needs
to be achieved by using area efficient circuits
operating at high speed with low power consumption.
Addition is the fundamental arithmetic operation and
most fundamental arithmetic component of the
processor is adder. Full Adder circuit plays an
important role in low power applications. Hence the
realization of full adders with low power and high
performance is very essential. Obviously increasing
the performance of 1-bit full adder circuit shows a
great impact on increasing the performance of the
entire system [1]-[2]. Designing low-power and high
speed VLSI systems has emerged as highly in
demand because of the fast growing technologies in
communication and other battery power applications.
Different design styles have been proposed to
implement 1-bit adder cells [3]-[9].
One of the efficient low power technique known as
Gate Diffusion Input (GDI) [10] is proposed by
Morgenshtein. It is a genius and power efficient
design with lesser number of transistor counts. In this
paper we propose a new 11-T GDI based 1-bit full
adder circuit for low power application by sacrificing
the transistor count that reduces the average power
consumption, considerably decreasing the delay
compared to its base design i.e 10T full adder design.
We have conducted simulations and results were
analyzed on 180nm, 90nm, 65nm and 45nm BPTM
using Tanner EDA tool.
The rest of paper is organized as follows. Section II
describes the GDI based design. Proposed circuit is
described in section III. Section IV shows the detail
comparison and analysis of GDI based 1-bit full
adder circuit on different technologies. Lastly
conclusions and future scope are drawn in section V.
II. GDI BASED DESIGN
The basic GDI cell shown in Fig.1 was
proposed by Morgenshtein [14]. It is a new technique
for low power digital combinational circuit design.
This technique reduces power consumption,
propagation delay and area of digital circuits while
maintaining low complexity of logic design.
RESEARCH ARTICLE OPEN ACCESS
Pankaj Kumar et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.462-465
www.ijera.com 463 | P a g e
Figure.1 GDI Cell
The main difference between the CMOS and GDI
based design is that the source of the PMOS in a GDI
cell is not connected to VDD and the source of the
NMOS is not connected to GND. This feature gives
the GDI cell two extra input pins for use which
makes the GDI design more flexible than CMOS
design.
GDI cell consists of three inputs - G (common gate
input of NMOS and PMOS), P (input to the
source/drain of PMOS) and N (input to the
source/drain of NMOS).Bulks of both nMOS and
PMOS are connected to N and P respectively. Table
1 shows different logic functions implemented by
GDI logic [15] based on different input values. So by
using GDI technique we can implement various logic
functions with less power and high speed as
compared to conventional CMOS design.
Table.1 Logic function of the basic GDI cell
Figure. 2 GDI based XOR gate
Fig.2 shows the implementation of XOR gate using
GDI technique [11]. It is the main building block of
full adder circuit. So if we can optimize XOR gate
then it can improve the overall performance of the 1
bit full adder circuit. It uses less number of transistors
as compared to conventional design of XOR gate
using CMOS logic. Fig.3 shows the detail circuit of
GDI XOR based 10T Full Adder [11].
Figure. 3 GDI based 10T Full Adder
In fig 3 M1, M3, M5, M7, and M9 are the PMOS
transistor whereas M2, M4, M6, M8, and M10 are the
NMOS transistors. A, B and Cin are taken as input
and output of the circuit is drawn from the Sum and
Cout.
III. PROPOSED GDI BASED FULL ADDER
The proposed GDI 11-T Full Adder is shown
in Fig. 4 M1, M3, M5, M7, and M9 are the PMOS
transistor. M2, M4, M6, M8, and M10 are the NMOS
transistors. A, B and Cin are taken as input and
output of the circuit is drawn from the Sum and Cout.
Here M11 is the sleep transistor which is responsible
for reduction of average power consumption of the
Pankaj Kumar et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.462-465
www.ijera.com 464 | P a g e
entire circuit. When the circuit is in active mode
sleep transistor is OFF and when the circuit is in
standby mode then the sleep transistor is ON.
Figure. 4 Proposed GDI based 11T Full Adder.
By using modified GDI based 11-T full adder design,
the power consumption is reduced to a greater extent
and also the delay of the circuit compared to
conventional GDI 10-T based full adder circuit [16].
IV. COMPARISION & ANALYSIS
Table.2 shows the variation of average
power and delay of proposed GDI based 11-T full
adder and existing GDI based 10-T full adder [16]
with respect to different technologies at 270
C.
Comparison result shows that the average power of
the proposed GDI technique is reduced by 48.74%,
36.89%, 5.52% and 2.65% at 180nm, 90nm, 65nm,
and 45nm respectively. Fig. 5 clearly depicts that as
technology decreases average power of the circuit
also reduces up to certain extent. Fig. 6 shows that
delay is reduced by 1.56%, 1.58%, 2.40% and 2.52%
at 180nm, 90nm, 65nm, and 45nm respectively by
comparing the proposed GDI technique with the
existing GDI technique.
Table 2 Comparisons between the Proposed
Technique and Existing Technique of GDI Based
Full Adder
Figure. 5 Average power of Proposed and existing
GDI based full adder in different technologies
Figure.6 Delay of proposed and existing full adder in
different technologies
V. CONCLUSION AND FUTURE SCOPE
Here a detail analysis of average power
consumption and delay of proposed GDI based adder
and the existing GDI based adder on different
technologies is presented. Comparison of proposed
GDI technique with the existing GDI technique
shows that the average power is decreasing by
48.74%, 36.89%, 5.52% and 2.65% at 180nm, 90nm,
65nm, and 45nm respectively. In the same way delay
is reduced by 1.56%, 1.58%, 2.40% and 2.52% at
180nm, 90nm, 65nm, and 45nm respectively. So by
proper analysis of power and delay parameters we
can easily use proposed GDI adder over existing
adders for low power and high speed applications.
We hope that presented results will encourage the
researchers for further research activities on GDI
techniques. To reduce power of a GDI based adder
cell some more circuit level power management
techniques should be used so that it can be useful for
low power applications. Implementation of different
kinds of mixed and digital circuits have to be carried
out in order to determine the fields of circuitry, where
GDI is very much superior over other design styles.
Pankaj Kumar et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.462-465
www.ijera.com 465 | P a g e
REFERENCES
[1] M. Rabaey, A. Chandrakasan and B.
Nikolic, “Digital Integrated Circuits: A
Design Perspective”, Prentice- Hall,
Englewood Cliffs, NJ (2002).
[2] R. Zimmermann and W. Fichtner, “Low-
power logic styles: CMOS versus pass-
transistor logic’’, IEEE J. Solid- State
Circuits, vol. 32, pp. 1079–90, July 1997.
[3] Lu Junming, ”A novel 10-transistor low-
power high-speed fulladder cell”, Proc. 6th
International Conf. on Solid-State and
Integrated-Circuit Technology, 2001, Vol.
2, pp. 1155 – 1158, 2001.
[4] Yuke Wang et al,”Design and analysis of
low-power 10-transistorfull adders using
novel XOR-XNOR gates”, IEEE Trans. On
Circuits and Systems II: Analog and
Digital Signal Processing, Vol. 49, No 1,
pp. 25– 30, 2002.
[5] KeivanNavi, MehrdadMaeen and
OmidHashemipour, “An energy efficient
full adder cell for low voltage”, IEICE
Electron.Express, Vol. 6, No. 9, pp.553-
559, 2009.
[6] Radhakrishnan D., “Low-voltage low-
power CMOS full adder,” IEEE Proc.
Circuits Devices Syst., Vol.148, No. 1, pp.
19–24, Feb. 2001.
[7] Zhang M., Gu J. and Chang C. H., “A
novel hybrid pass logic with static CMOS
output drive full adder cell,” in Proc. IEEE
Int. Symp.Circuits Syst., May 2003, pp.
317–320.
[8] Chang C.-H., Gu J. and Zhang M., “A
review of 0.18-μm full adder performances
for tree structured arithmetic circuits,”
IEEE Trans. Very Large Scale Integr.
(VLSI) Syst., Vol. 13, No. 6, pp. 686–695,
Jun. 2005.
[9] Goel S., Kumar A. and Bayoumi M. A.,
“Design of Robust, Energy-Efficient Full
Adders for Deep- Submicrometer Design
Using Hybrid- CMOS Logic Style," IEEE
Trans. Very Large Scale Integr. (VLSI)
Syst., Vol. 14, No. 12, pp. 1309–1321, Feb.
2006.
[10] Morgenshtein, A. Fish, I. A. Wanger,
“Gate-Diffusion Input (GDI): A power
efficient method for digital combinational
circuits,” IEEE Trans. on Very Large Scale
Integration (VLSI), vol. 10, No. 5, pp. 566-
581, 2002.
[11] P. M. Lee, C. H. Hsu and Y. H. Hung,
“Novel 10-T full adders realized by GDI
structure”, Proc. on IntSymp. On
Integrated Circuits (ISIC2007), pp.115-
118. 2007.
[12] N.West. K.Eshragian, Principles of CMOS
VLSI Design: A systems Perspective,
Addison-wesley,1993.
[13] Tripti Sharma, K.G. Sharma, Prof. B.P
Singh, “High performance full adder cell:
A comparative analysis”, Proceeding of the
2010 IEEE students’ Technology
symposium, pp.156-160, 2010.
[14] Morgenshtein, A. Fish, I. A. Wanger,
“Gate-Diffusion Input (GDI): power
efficient method for digital combinational
circuits,” IEEE Transl. on Very Large
Scale Integration (VLSI), vol. 10, No. 5,
pp. 566-581, 2002.
[15] A.Morgenshtein, A. Fish, and Israel A.
Wagner, “Gate-Diffusion Input (GDI): a
novel power efficient method for digital
circuits: a design methodology”,
Proceedings of14th Annual IEEE
International ASIC/SOC Conference,pp.
39-43,2001.
[16] PoonamYadav, Pankaj Kumar,
“Performance Analysis of GDI based 1-bit
Full Adder Circuit for Low Power and
High Speed Applications”, International
Journal of VLSI and Embedded Systems-
IJVES (ISSN: 2249-6556), Volume No.4,
IssueNo.3, pp: 386-389, May-June 2013.

More Related Content

What's hot

Efficient Layout Design Multiplexer By Using Different Technologies
Efficient Layout Design Multiplexer By Using Different Technologies Efficient Layout Design Multiplexer By Using Different Technologies
Efficient Layout Design Multiplexer By Using Different Technologies IJEEE
 
IRJET- FPGA Implementation of Efficient Muf Gate based Multipliers
IRJET-  	  FPGA Implementation of Efficient Muf Gate based MultipliersIRJET-  	  FPGA Implementation of Efficient Muf Gate based Multipliers
IRJET- FPGA Implementation of Efficient Muf Gate based MultipliersIRJET Journal
 
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET Journal
 
Review on Green Networking Solutions
Review on Green Networking SolutionsReview on Green Networking Solutions
Review on Green Networking Solutionsiosrjce
 
Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...iosrjce
 
IRJET- Combine RF Ambient for Power Harvesting using Power Detector for Senso...
IRJET- Combine RF Ambient for Power Harvesting using Power Detector for Senso...IRJET- Combine RF Ambient for Power Harvesting using Power Detector for Senso...
IRJET- Combine RF Ambient for Power Harvesting using Power Detector for Senso...IRJET Journal
 
Energy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuitsEnergy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuitsIJERA Editor
 
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD CodeIRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD CodeIRJET Journal
 
PSO-GSA Tuned Dynamic Allocation in Wireless Video Sensor Networks for IOT
PSO-GSA Tuned Dynamic Allocation in Wireless Video Sensor Networks for IOTPSO-GSA Tuned Dynamic Allocation in Wireless Video Sensor Networks for IOT
PSO-GSA Tuned Dynamic Allocation in Wireless Video Sensor Networks for IOTIRJET Journal
 
Performance Evaluation of Various CMOS Full Adder Designs: A Review
Performance Evaluation of  Various CMOS Full Adder Designs: A ReviewPerformance Evaluation of  Various CMOS Full Adder Designs: A Review
Performance Evaluation of Various CMOS Full Adder Designs: A ReviewIRJET Journal
 
Apllictaions of improved gilbert multiplier 2
Apllictaions of improved gilbert multiplier 2Apllictaions of improved gilbert multiplier 2
Apllictaions of improved gilbert multiplier 2IAEME Publication
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET Journal
 
Maximizing Energy Efficiency for Consumption Circuit Power in Downlink Massiv...
Maximizing Energy Efficiency for Consumption Circuit Power in Downlink Massiv...Maximizing Energy Efficiency for Consumption Circuit Power in Downlink Massiv...
Maximizing Energy Efficiency for Consumption Circuit Power in Downlink Massiv...IJECEIAES
 
IXP 23XX Network processor
IXP 23XX Network processorIXP 23XX Network processor
IXP 23XX Network processorYuvaraja Ravi
 
Design of high speed serializer for interchip data communications with phase ...
Design of high speed serializer for interchip data communications with phase ...Design of high speed serializer for interchip data communications with phase ...
Design of high speed serializer for interchip data communications with phase ...IJERA Editor
 
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...Pvrtechnologies Nellore
 
Design of Ku-band power divider using Substrate Integrated Waveguide technique
Design of Ku-band power divider using Substrate Integrated Waveguide techniqueDesign of Ku-band power divider using Substrate Integrated Waveguide technique
Design of Ku-band power divider using Substrate Integrated Waveguide techniquejournalBEEI
 
Application of diversity techniques for multi user idma communication system
Application of diversity techniques for multi user idma communication systemApplication of diversity techniques for multi user idma communication system
Application of diversity techniques for multi user idma communication systemAlexander Decker
 

What's hot (20)

Efficient Layout Design Multiplexer By Using Different Technologies
Efficient Layout Design Multiplexer By Using Different Technologies Efficient Layout Design Multiplexer By Using Different Technologies
Efficient Layout Design Multiplexer By Using Different Technologies
 
IRJET- FPGA Implementation of Efficient Muf Gate based Multipliers
IRJET-  	  FPGA Implementation of Efficient Muf Gate based MultipliersIRJET-  	  FPGA Implementation of Efficient Muf Gate based Multipliers
IRJET- FPGA Implementation of Efficient Muf Gate based Multipliers
 
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
 
Review on Green Networking Solutions
Review on Green Networking SolutionsReview on Green Networking Solutions
Review on Green Networking Solutions
 
H011135565
H011135565H011135565
H011135565
 
Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...
 
IRJET- Combine RF Ambient for Power Harvesting using Power Detector for Senso...
IRJET- Combine RF Ambient for Power Harvesting using Power Detector for Senso...IRJET- Combine RF Ambient for Power Harvesting using Power Detector for Senso...
IRJET- Combine RF Ambient for Power Harvesting using Power Detector for Senso...
 
Energy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuitsEnergy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuits
 
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD CodeIRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
 
PSO-GSA Tuned Dynamic Allocation in Wireless Video Sensor Networks for IOT
PSO-GSA Tuned Dynamic Allocation in Wireless Video Sensor Networks for IOTPSO-GSA Tuned Dynamic Allocation in Wireless Video Sensor Networks for IOT
PSO-GSA Tuned Dynamic Allocation in Wireless Video Sensor Networks for IOT
 
Performance Evaluation of Various CMOS Full Adder Designs: A Review
Performance Evaluation of  Various CMOS Full Adder Designs: A ReviewPerformance Evaluation of  Various CMOS Full Adder Designs: A Review
Performance Evaluation of Various CMOS Full Adder Designs: A Review
 
Apllictaions of improved gilbert multiplier 2
Apllictaions of improved gilbert multiplier 2Apllictaions of improved gilbert multiplier 2
Apllictaions of improved gilbert multiplier 2
 
T4502120125
T4502120125T4502120125
T4502120125
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
 
Maximizing Energy Efficiency for Consumption Circuit Power in Downlink Massiv...
Maximizing Energy Efficiency for Consumption Circuit Power in Downlink Massiv...Maximizing Energy Efficiency for Consumption Circuit Power in Downlink Massiv...
Maximizing Energy Efficiency for Consumption Circuit Power in Downlink Massiv...
 
IXP 23XX Network processor
IXP 23XX Network processorIXP 23XX Network processor
IXP 23XX Network processor
 
Design of high speed serializer for interchip data communications with phase ...
Design of high speed serializer for interchip data communications with phase ...Design of high speed serializer for interchip data communications with phase ...
Design of high speed serializer for interchip data communications with phase ...
 
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
 
Design of Ku-band power divider using Substrate Integrated Waveguide technique
Design of Ku-band power divider using Substrate Integrated Waveguide techniqueDesign of Ku-band power divider using Substrate Integrated Waveguide technique
Design of Ku-band power divider using Substrate Integrated Waveguide technique
 
Application of diversity techniques for multi user idma communication system
Application of diversity techniques for multi user idma communication systemApplication of diversity techniques for multi user idma communication system
Application of diversity techniques for multi user idma communication system
 

Viewers also liked (19)

Jz3517311738
Jz3517311738Jz3517311738
Jz3517311738
 
Bq36404412
Bq36404412Bq36404412
Bq36404412
 
J41046368
J41046368J41046368
J41046368
 
Fs3510121016
Fs3510121016Fs3510121016
Fs3510121016
 
Lh3619271935
Lh3619271935Lh3619271935
Lh3619271935
 
Lf3619161920
Lf3619161920Lf3619161920
Lf3619161920
 
C42042729
C42042729C42042729
C42042729
 
G42034346
G42034346G42034346
G42034346
 
Bk4301345349
Bk4301345349Bk4301345349
Bk4301345349
 
Bw4301423428
Bw4301423428Bw4301423428
Bw4301423428
 
C43030914
C43030914C43030914
C43030914
 
Cw4301569573
Cw4301569573Cw4301569573
Cw4301569573
 
C43011518
C43011518C43011518
C43011518
 
Cy4301578585
Cy4301578585Cy4301578585
Cy4301578585
 
Cs4301547552
Cs4301547552Cs4301547552
Cs4301547552
 
D43031521
D43031521D43031521
D43031521
 
Cb4301449454
Cb4301449454Cb4301449454
Cb4301449454
 
Bt4301401410
Bt4301401410Bt4301401410
Bt4301401410
 
Cr4301542546
Cr4301542546Cr4301542546
Cr4301542546
 

Similar to Ce4301462465

Performance evaluation of full adder
Performance evaluation of full adderPerformance evaluation of full adder
Performance evaluation of full adderIOSRJECE
 
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
IRJET-  	  Design of 1 Bit ALU using Various Full Adder CircuitsIRJET-  	  Design of 1 Bit ALU using Various Full Adder Circuits
IRJET- Design of 1 Bit ALU using Various Full Adder CircuitsIRJET Journal
 
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleArea and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleIJEEE
 
Reducing the Number Of Transistors In Carry Select Adder
Reducing the Number Of Transistors In Carry Select AdderReducing the Number Of Transistors In Carry Select Adder
Reducing the Number Of Transistors In Carry Select Adderpaperpublications3
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateIJEEE
 
High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE IJEEE
 
A Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueA Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueIJERA Editor
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuitsijtsrd
 
IRJET- Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
IRJET-  	  Analysis of Proposed Finfet based Full Adder using CMOS Logic StyleIRJET-  	  Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
IRJET- Analysis of Proposed Finfet based Full Adder using CMOS Logic StyleIRJET Journal
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate IJEEE
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip DesignBryce Nelson
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignEditor IJMTER
 
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET Journal
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...eSAT Publishing House
 
Optimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyOptimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyIJEEE
 
DESIGN OF POWER EFFICIENT PRIORITY ENCODER
DESIGN OF POWER EFFICIENT PRIORITY ENCODERDESIGN OF POWER EFFICIENT PRIORITY ENCODER
DESIGN OF POWER EFFICIENT PRIORITY ENCODERIRJET Journal
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 

Similar to Ce4301462465 (20)

Performance evaluation of full adder
Performance evaluation of full adderPerformance evaluation of full adder
Performance evaluation of full adder
 
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
IRJET-  	  Design of 1 Bit ALU using Various Full Adder CircuitsIRJET-  	  Design of 1 Bit ALU using Various Full Adder Circuits
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
 
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleArea and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
 
Reducing the Number Of Transistors In Carry Select Adder
Reducing the Number Of Transistors In Carry Select AdderReducing the Number Of Transistors In Carry Select Adder
Reducing the Number Of Transistors In Carry Select Adder
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission Gate
 
High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE
 
A Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueA Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI technique
 
Ix3416271631
Ix3416271631Ix3416271631
Ix3416271631
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuits
 
IRJET- Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
IRJET-  	  Analysis of Proposed Finfet based Full Adder using CMOS Logic StyleIRJET-  	  Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
IRJET- Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
 
J010224750
J010224750J010224750
J010224750
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...
 
Optimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyOptimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm Technology
 
DESIGN OF POWER EFFICIENT PRIORITY ENCODER
DESIGN OF POWER EFFICIENT PRIORITY ENCODERDESIGN OF POWER EFFICIENT PRIORITY ENCODER
DESIGN OF POWER EFFICIENT PRIORITY ENCODER
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 

Recently uploaded

GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdflior mazor
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...apidays
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?Antenna Manufacturer Coco
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Scriptwesley chun
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?Igalia
 
Tech Trends Report 2024 Future Today Institute.pdf
Tech Trends Report 2024 Future Today Institute.pdfTech Trends Report 2024 Future Today Institute.pdf
Tech Trends Report 2024 Future Today Institute.pdfhans926745
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...apidays
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...Neo4j
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Enterprise Knowledge
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 

Recently uploaded (20)

GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdf
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
Tech Trends Report 2024 Future Today Institute.pdf
Tech Trends Report 2024 Future Today Institute.pdfTech Trends Report 2024 Future Today Institute.pdf
Tech Trends Report 2024 Future Today Institute.pdf
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 

Ce4301462465

  • 1. Pankaj Kumar et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.462-465 www.ijera.com 462 | P a g e Design and Analysis of GDI Based Full Adder Circuit for Low Power Applications Pankaj Kumar1 , Poonam Yadav2 1 Assistant Professor,Department of Electronics, G.D Goenka World Institute, Gurgaon, India. 2 M.Tech,Manav Rachna International University, Faridabad, India. ABSTRACT: Full adder circuit is an essential component for designing of various digital systems. It is used for different applications such as Digital signal processor, microcontroller, microprocessor and data processing units. Due to scaling trends and portability of electronic devices there is a high demand and need for low power and high speed digital circuits with small silicon area. So, design and analysis of low power and high performance adders are of great interest and any modification made to the full adder circuit would affect the performance of the entire system. This paper describes the design and analysis of GDI based 1-bit full adder circuit for low power applications. GDI technique is used to reduce power consumption, propagation delay while maintaining low complexity of logic design. Here we have introduced a 11-T GDI based full adder circuit which can be used for low power applications. The proposed circuit is better than the existing technique in terms of average power and speed with minimum area penalty. Simulations are based on BPTM model and have been carried out by Tanner EDA tool on 180nm, 90nm, 65nm and 45nm technology. Keywords: Delay, Full adder, GDI (Gate Diffusion Input), Low Power. I. INTRODUCTION Binary addition is the basic operation found in most arithmetic components. Computation needs to be achieved by using area efficient circuits operating at high speed with low power consumption. Addition is the fundamental arithmetic operation and most fundamental arithmetic component of the processor is adder. Full Adder circuit plays an important role in low power applications. Hence the realization of full adders with low power and high performance is very essential. Obviously increasing the performance of 1-bit full adder circuit shows a great impact on increasing the performance of the entire system [1]-[2]. Designing low-power and high speed VLSI systems has emerged as highly in demand because of the fast growing technologies in communication and other battery power applications. Different design styles have been proposed to implement 1-bit adder cells [3]-[9]. One of the efficient low power technique known as Gate Diffusion Input (GDI) [10] is proposed by Morgenshtein. It is a genius and power efficient design with lesser number of transistor counts. In this paper we propose a new 11-T GDI based 1-bit full adder circuit for low power application by sacrificing the transistor count that reduces the average power consumption, considerably decreasing the delay compared to its base design i.e 10T full adder design. We have conducted simulations and results were analyzed on 180nm, 90nm, 65nm and 45nm BPTM using Tanner EDA tool. The rest of paper is organized as follows. Section II describes the GDI based design. Proposed circuit is described in section III. Section IV shows the detail comparison and analysis of GDI based 1-bit full adder circuit on different technologies. Lastly conclusions and future scope are drawn in section V. II. GDI BASED DESIGN The basic GDI cell shown in Fig.1 was proposed by Morgenshtein [14]. It is a new technique for low power digital combinational circuit design. This technique reduces power consumption, propagation delay and area of digital circuits while maintaining low complexity of logic design. RESEARCH ARTICLE OPEN ACCESS
  • 2. Pankaj Kumar et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.462-465 www.ijera.com 463 | P a g e Figure.1 GDI Cell The main difference between the CMOS and GDI based design is that the source of the PMOS in a GDI cell is not connected to VDD and the source of the NMOS is not connected to GND. This feature gives the GDI cell two extra input pins for use which makes the GDI design more flexible than CMOS design. GDI cell consists of three inputs - G (common gate input of NMOS and PMOS), P (input to the source/drain of PMOS) and N (input to the source/drain of NMOS).Bulks of both nMOS and PMOS are connected to N and P respectively. Table 1 shows different logic functions implemented by GDI logic [15] based on different input values. So by using GDI technique we can implement various logic functions with less power and high speed as compared to conventional CMOS design. Table.1 Logic function of the basic GDI cell Figure. 2 GDI based XOR gate Fig.2 shows the implementation of XOR gate using GDI technique [11]. It is the main building block of full adder circuit. So if we can optimize XOR gate then it can improve the overall performance of the 1 bit full adder circuit. It uses less number of transistors as compared to conventional design of XOR gate using CMOS logic. Fig.3 shows the detail circuit of GDI XOR based 10T Full Adder [11]. Figure. 3 GDI based 10T Full Adder In fig 3 M1, M3, M5, M7, and M9 are the PMOS transistor whereas M2, M4, M6, M8, and M10 are the NMOS transistors. A, B and Cin are taken as input and output of the circuit is drawn from the Sum and Cout. III. PROPOSED GDI BASED FULL ADDER The proposed GDI 11-T Full Adder is shown in Fig. 4 M1, M3, M5, M7, and M9 are the PMOS transistor. M2, M4, M6, M8, and M10 are the NMOS transistors. A, B and Cin are taken as input and output of the circuit is drawn from the Sum and Cout. Here M11 is the sleep transistor which is responsible for reduction of average power consumption of the
  • 3. Pankaj Kumar et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.462-465 www.ijera.com 464 | P a g e entire circuit. When the circuit is in active mode sleep transistor is OFF and when the circuit is in standby mode then the sleep transistor is ON. Figure. 4 Proposed GDI based 11T Full Adder. By using modified GDI based 11-T full adder design, the power consumption is reduced to a greater extent and also the delay of the circuit compared to conventional GDI 10-T based full adder circuit [16]. IV. COMPARISION & ANALYSIS Table.2 shows the variation of average power and delay of proposed GDI based 11-T full adder and existing GDI based 10-T full adder [16] with respect to different technologies at 270 C. Comparison result shows that the average power of the proposed GDI technique is reduced by 48.74%, 36.89%, 5.52% and 2.65% at 180nm, 90nm, 65nm, and 45nm respectively. Fig. 5 clearly depicts that as technology decreases average power of the circuit also reduces up to certain extent. Fig. 6 shows that delay is reduced by 1.56%, 1.58%, 2.40% and 2.52% at 180nm, 90nm, 65nm, and 45nm respectively by comparing the proposed GDI technique with the existing GDI technique. Table 2 Comparisons between the Proposed Technique and Existing Technique of GDI Based Full Adder Figure. 5 Average power of Proposed and existing GDI based full adder in different technologies Figure.6 Delay of proposed and existing full adder in different technologies V. CONCLUSION AND FUTURE SCOPE Here a detail analysis of average power consumption and delay of proposed GDI based adder and the existing GDI based adder on different technologies is presented. Comparison of proposed GDI technique with the existing GDI technique shows that the average power is decreasing by 48.74%, 36.89%, 5.52% and 2.65% at 180nm, 90nm, 65nm, and 45nm respectively. In the same way delay is reduced by 1.56%, 1.58%, 2.40% and 2.52% at 180nm, 90nm, 65nm, and 45nm respectively. So by proper analysis of power and delay parameters we can easily use proposed GDI adder over existing adders for low power and high speed applications. We hope that presented results will encourage the researchers for further research activities on GDI techniques. To reduce power of a GDI based adder cell some more circuit level power management techniques should be used so that it can be useful for low power applications. Implementation of different kinds of mixed and digital circuits have to be carried out in order to determine the fields of circuitry, where GDI is very much superior over other design styles.
  • 4. Pankaj Kumar et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 3( Version 1), March 2014, pp.462-465 www.ijera.com 465 | P a g e REFERENCES [1] M. Rabaey, A. Chandrakasan and B. Nikolic, “Digital Integrated Circuits: A Design Perspective”, Prentice- Hall, Englewood Cliffs, NJ (2002). [2] R. Zimmermann and W. Fichtner, “Low- power logic styles: CMOS versus pass- transistor logic’’, IEEE J. Solid- State Circuits, vol. 32, pp. 1079–90, July 1997. [3] Lu Junming, ”A novel 10-transistor low- power high-speed fulladder cell”, Proc. 6th International Conf. on Solid-State and Integrated-Circuit Technology, 2001, Vol. 2, pp. 1155 – 1158, 2001. [4] Yuke Wang et al,”Design and analysis of low-power 10-transistorfull adders using novel XOR-XNOR gates”, IEEE Trans. On Circuits and Systems II: Analog and Digital Signal Processing, Vol. 49, No 1, pp. 25– 30, 2002. [5] KeivanNavi, MehrdadMaeen and OmidHashemipour, “An energy efficient full adder cell for low voltage”, IEICE Electron.Express, Vol. 6, No. 9, pp.553- 559, 2009. [6] Radhakrishnan D., “Low-voltage low- power CMOS full adder,” IEEE Proc. Circuits Devices Syst., Vol.148, No. 1, pp. 19–24, Feb. 2001. [7] Zhang M., Gu J. and Chang C. H., “A novel hybrid pass logic with static CMOS output drive full adder cell,” in Proc. IEEE Int. Symp.Circuits Syst., May 2003, pp. 317–320. [8] Chang C.-H., Gu J. and Zhang M., “A review of 0.18-μm full adder performances for tree structured arithmetic circuits,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., Vol. 13, No. 6, pp. 686–695, Jun. 2005. [9] Goel S., Kumar A. and Bayoumi M. A., “Design of Robust, Energy-Efficient Full Adders for Deep- Submicrometer Design Using Hybrid- CMOS Logic Style," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., Vol. 14, No. 12, pp. 1309–1321, Feb. 2006. [10] Morgenshtein, A. Fish, I. A. Wanger, “Gate-Diffusion Input (GDI): A power efficient method for digital combinational circuits,” IEEE Trans. on Very Large Scale Integration (VLSI), vol. 10, No. 5, pp. 566- 581, 2002. [11] P. M. Lee, C. H. Hsu and Y. H. Hung, “Novel 10-T full adders realized by GDI structure”, Proc. on IntSymp. On Integrated Circuits (ISIC2007), pp.115- 118. 2007. [12] N.West. K.Eshragian, Principles of CMOS VLSI Design: A systems Perspective, Addison-wesley,1993. [13] Tripti Sharma, K.G. Sharma, Prof. B.P Singh, “High performance full adder cell: A comparative analysis”, Proceeding of the 2010 IEEE students’ Technology symposium, pp.156-160, 2010. [14] Morgenshtein, A. Fish, I. A. Wanger, “Gate-Diffusion Input (GDI): power efficient method for digital combinational circuits,” IEEE Transl. on Very Large Scale Integration (VLSI), vol. 10, No. 5, pp. 566-581, 2002. [15] A.Morgenshtein, A. Fish, and Israel A. Wagner, “Gate-Diffusion Input (GDI): a novel power efficient method for digital circuits: a design methodology”, Proceedings of14th Annual IEEE International ASIC/SOC Conference,pp. 39-43,2001. [16] PoonamYadav, Pankaj Kumar, “Performance Analysis of GDI based 1-bit Full Adder Circuit for Low Power and High Speed Applications”, International Journal of VLSI and Embedded Systems- IJVES (ISSN: 2249-6556), Volume No.4, IssueNo.3, pp: 386-389, May-June 2013.