SlideShare una empresa de Scribd logo
1 de 4
Descargar para leer sin conexión
International Journal of Engineering Research and Applications (IJERA)    ISSN: 2248-9622
                 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.847-850

            Global clock calibration for an SAR ADC interface

Abstract—
          This paper presents the interface circuit      clocks at the transmitter side and the receiving part
which incorporates the technique of analog value         can be stopped for the asynchronous data transfer.
to digital value conversion utilising a self clocking    Such a combination of the features of synchronous
method. The architecture consists of the Globally        and the asynchronous communication leads to
Asynchronous and Locally Synchronous (GALS)              enhancement of decreasing the unwanted strain of
building blocks, where the processing hardware           the clock skew in the overall circuitry.
is being realized by the set of smaller slices of           Clock skew factor is generally the variation in
similar structure, each running synchronously            timing of one signal in a comparison to the second
with independent clocks. This is used in different       signal, caused due to timing or propagation delay.
real-time commercial applications like digital
filtering, fourier transform applications. The
described architecture will allow for load-
balancing depending specifically on the input
load which is present in all of the used processing
units. The architecture itself leads to process
tolerance since it capable of detecting process
variations in each and every individually present
processing module and is able to finely determine
the appropriate operating frequency that is finely
applicable for each of the modules. Once the
clock acting as a local circuit has been
appropriately adjusted, it needs no further
                                                              Fig. 1 Depiction of occurrence of clock skew
precision measurement and calculation. Also, it is
efficiently free from the various changes
                                                           Fig. 1 is a depiction of occurrence of the clock
occurring mainly because of the different and
                                                         skew in the shown circuit. In this circuit, clock skew
unique environmental effects. The main
                                                         factor will generally be exhibited due to the two
consideration has been laid for the efficient and
                                                         different delays, DELAY T1 and DELAY T2. There
the proper kind of established communication
                                                         may be basically the two types of sets of violations
between the present modules used in the
                                                         which exist due to presence of the clock skew in a
interfacing.
                                                         circuit: hold type violation and set-up type violation.
                                                         The former type violation will occur when the output
Keywords— GALS, ADC, clock skew,
                                                         block, for example, a flip-flop receives the clock
asynchronous interconnect, SAR
                                                         signal after sometime than the input block flip-flop.
I. INTRODUCTION                                          The set-up type violation [2] is generated in case the
          Analog to Digital Converters (ADCs)            next clock transition or edge arrives at the output
perform mainly the function of translating analog        block before the establishing of any new valid data
form into digital quantity form. These are preferably    to be mainly sent to the output.
used for information processing, in computing [1],
data transmission and various control system
                                                         II. ARCHITECTURES
applications. ADCs are considered as the base
components while designing for limited power
requirement systems. In the paper, description of a
specific type of Analog to Digital Converter is being
made. The type used is primarily of the Successive
Approximation Register (SAR). Additionally, there
is inculcation of a global clock calibration scheme to
induce the technique of Globally Asynchronous
Locally Synchronous.                                           Fig. 2 A general block diagram for an ADC
      GALS designs work upon the concept of
providing truly the possibility of an asynchronous        Fig. 2 shows the general diagram for a simple ADC
communication in between the locally placed              circuit. In terms of number system, the input will be
synchronous blocks. Each of these locally placed         fed in decimal form and output will be in binary
synchronous blocks is capable of using an oscillator     form.
of ring type for generation of the clock. Both the

                                                                                               847 | P a g e
International Journal of Engineering Research and Applications (IJERA)    ISSN: 2248-9622
                 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.847-850

                                                                    It initiates the part of process of the
                                                                         sampling for the ADC ,
                                                                    It will generate the multiplexer select
                                                                         signals for analog multiplexers used,
                                                                    It carries out the implementation part of
                                                                         memory mapping for the registers for the
                                                                         ADC.
                                                              This ADC interface consists of the various sub-
                                                           modules as given below:
                                                                    The ADC Interface Module,
                                                                    The ADC Multiplexer Interface Module,
            Fig. 3 An N-Bit SAR type ADC                            The ADC Registers Module.
                                                              The signals depicted in the block diagram for the
  In Fig. 3, an N-Bit SAR (Successive                      SAR ADC interface can be described as:
Approximation Register) type of the ADC (Analog                     I_FPGA_POR_N              represents      the
to Digital Converter) is highlighted. The analog                         synchronized core reset signal which is
input, through the track and the hold circuit is being                   going as the input to the Interface block
fed to the integrator circuit. Such a type of adjustable                 for the ADC,
arrangement for the N-Bit ADC will require N                        I_FPGA_CLK_40MHz is the FPGA clock
number of comparison periods [1]. This kind of                           input signal to the ADC Interface block,
topology is mostly being used to allow for having
                                                                    I_ADC1_SDOA is the serial input from the
reduced power dissipation, but there is the unwanted
                                                                         A register of ADC1,
addition to pay for the disadvantage of a slow
                                                                    I_ADC1_SDOB is the serial input data
sampling rate [4]. The main benefit of an SAR type
                                                                         from B register of ADC1,
ADC is the good ratio value of speed factor to the
power. Such a structure holds the benefit of having a               I_ADC_REG_SEL(5:0) is the register
sleek design in comparison to a flash type ADC.                          select input address line utilized to get
This makes an SAR type ADC an inexpensive                                data from A registers and B registers
device [3].                                                              (registers A1 to A8 and registers B1 to
  Now, for the design process and implementation of                      B8) based on the select line during the
the 12-bit SAR ADC with the combination of GALS                          read operation,
concept, the handshake signals can be utilized.                     O_ADC1_CS_N is acting as the output
Considering the handshake-based architecture of                          from the ADC interface block
GALS, there will be a communication directly in                          representing the ADC Chip Select Active
between the synchronous components through the                           Low Signal,
handshaking signals. An RTU (a receiver-transmitter                 O_ADC1_SCLK_10MHz is the output
unit) is incorporated [2] to each component for                          ADC Serial Clock Signal with frequency
ensuring the proper request-acknowledgement                              of 10 MHz,
signals based GALS handshake signaling. Each of                     O_MUX1_ADDR(2:0) is another output
the individual signals carrying justified and valid                      from the ADC interface block for the
data is furnished with two handshake signals:                            ADC multiplexer selection signal,
request and acknowledge.                                            O_ADC_R_DATA (19:0) is another output
                                                                         from the ADC interface block for the
                                                                         reading of the valid data required from
                                                                         the register module based upon address
                                                                         bus selection during read operation.
                                                               The amount of power variable consumed in this
                                                           circuit is mainly divided into the three different
                                                           parameters [1]. These are broadly classified as
                                                           follows:
                                                                 Dynamic power consumption – This type
                                                                      occurs on the variation of the logic states
                                                                      of the signals.
                                                                 Short circuit type power consumption – It
   Fig. 4 Block Diagram for a 12-bit ADC interface
                                                                      occurs when a direct conducting path is
                                                                      developed between the main power supply
   Fig.4 depicts the input and output signal
                                                                      portion and the ground during the
directions for the 12-bit ADC interface. The ADC
                                                                      switching state of the PMOS type and
has been interfaced to the Field Programmable Gate
                                                                      NMOS type transistors present in the
Array (FPGA). The important functions of this ADC
                                                                      circuit.
interface are as follows:
                                                                                                 848 | P a g e
International Journal of Engineering Research and Applications (IJERA)    ISSN: 2248-9622
                 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.847-850

         Leakage or static power consumption            The other signals have been described in the
          parameter – This parameter of power            previous diagram. In the prescribed ADC model,
          consumption occurs due to electric current     there are various important parameters which are
          flow from the power rails when none of the     proved to be eminent for the evaluation criteria of its
          switching activities are taking place. Two     performance [1]. Some of these are:
          main sources of leakage in the MOS                   DNL – DNL stands for Differential Non-
          transistors include the sub-threshold                    Linearity error value unit. It is uniquely
          leakage and the gate-oxide leakage.                      the difference point value between the
      As the size of MOS transistors is being reduced              actual width of a step and1 LSB’s ideal
to very deep submicron sizes, unwanted                             value.
consequences related to power consumption are                  INL - INL is the abbreviation for the
arising. By causing decrease in the dimensional                    Integral Non Linearity error point value. It
parameters for the used transistors, there arises a                highlights the maximum value for
requirement to cause a linear corresponding decline                deviation of the transition point of any
in the supply voltage value in order to get imposed a              conversion at a particular instant to the
restriction on the dynamic power consumption                       corresponding point of transition for an
within reasonable limits.                                          ideal conversion.
                                                               SNR – It stands for Signal to the Noise
                                                                   Ratio unit.
                                                               SFDR – It stands for Spurious Free
                                                                   Dynamic Range.

                                                         III. RESULTS
                                                                 The results for the GALS based SAR ADC
                                                         have been achieved with the Xilinx Synthesis Tool
                                                         and ISE Simulator (ISim).




Fig. 5 Top level entity for the 12-bit ADC interface
                        block

  Fig. 5 represents the top level entity window for
the 12-bit ADC interface block. The signals as in Fig.
5 are:
   O_ADC_SAMP_A(11:0) is the output signal
       representing the serial data from ADC
       converted into parallel data for register A,
   O_ADC_SAMP_B(11:0) is the output signal
       representing the serial data from ADC
       converted into parallel data for register B,
   O_ADC_Mux_En is the Multiplexer address
       switching trigger pulse,
   O_Reg_Sum_En is the data valid pulse for
       indicating the reading process of serial data      Fig. 6 Simulation results for 12-bit ADC interface
       from 12-bit ADC which is converted into                                  block
       parallel data,
   O_Reg_Sw_En is the register enable pulse.

                                                                                               849 | P a g e
International Journal of Engineering Research and Applications (IJERA)    ISSN: 2248-9622
                 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.847-850

  Fig. 6 shows the simulation result window for the      Samples in one Second (kSPS) to Mega Samples per
12-bit ADC interface block. The design block has         Second (MSPS) [3]. The SAR type of ADC interface
been brought out by synthesis using the xc3s50           implemented allows the inclusion of GALS
device of Spartan 3 family. The output parameters        methodology. Also, the inculcation of the self
achieved in terms of area overhead are as follows:       clocking technique has been largely indulged in the
      Number of slice flip-flops – 30,                  process of implementation of the SAR ADC. So,
      Number of 4 input LUTs – 30,                      there has been prevention of metastability in the
      Number of occupied slices – 28.                   circuit. A metastable state can also be synonym to a
  The operating frequency for the ADC interface          quasi-stable state.
was 186.296 MHz. Considering the input signal side,
an ADC circuit may be classified as the single           ACKNOWLEDGMENT
ended signal type or a fully differential signal type             The author would like to thank firstly
[1]. In the single ended ADC, all the signals are        Almighty God and family members for their
generally referred together to a common ground           precious support. Also, thanks are extended to
portion. In the fully differential ADC, the two inputs   colleagues, Mr. Nitin Kumar and Ms. Geetanjali
are generally 180º angle out of phase. The Fig. 7 is     Ralh for their encouragement and valuable
showing the floorplanning window for the ADC             suggestions.
interface.
                                                         REFERENCES
                                                             [1]   G. Beanato, ―Design of a Very Low
                                                                   Power SAR Analog to Digital
                                                                   Converter,‖           Master       thesis,
                                                                   Microelectronics System Laboratory,
                                                                   Lausanne, August 2009.
                                                             [2]   S. M. Suhaib, ―Formal Methods for
                                                                   Intellectual Property Composition Across
                                                                   Synchronization Domains,‖ Virginia
                                                                   Polytechnic       Institute   &     State
                                                                   University Blacksburg, VA, USA, 2007,
                                                                   ISBN: 978-0-549-32243-6.
                                                             [3]   N. Barot, ―Successive Approximation
                                                                   Analog to Digital Converter,‖ Master
                                                                   project report, San Jose State University,
                                                                   April 2010.
                                                             [4]   R. Dugosz, K. Iniewski, "Flexible
                                                                   Architecture of Ultra Low Power Current
                                                                   Mode          Interleaved      Successive
                                                                   Approximation Analog to Digital
                                                                   Converter for Wireless Sensor Networks"
                                                                   Hindawi Publishing Corporation VLSI
                                                                   Design Volume 2007, Article ID 45269,
                                                                   2007.

  Fig. 7 Floorplanning window for ADC interface

IV. CONCLUSIONS
         The conceptual point for the main Globally
Asynchronous Locally Synchronous design circuit is
the enabling of handshaking signals between the
synchronously       placed      blocks.    However,
unavailability of appropriate tools and the different
design methodologies for facilitating the GALS
designs [2] is still present. In many cases, GALS
based designs are constructed using ad hoc type
methods. For these methods, the synchronous parts
are encapsulated using some wrapper concept. The
communication process is done either by handshake
principles or by bounded FIFOs concept.
  An SAR type ADC is suitable for the medium type
resolution effect with high speed ranging from Kilo
                                                                                             850 | P a g e

Más contenido relacionado

La actualidad más candente

Sigma delta adc
Sigma delta adcSigma delta adc
Sigma delta adcdimitar53
 
Sigma Delta ADC for Implantable Cardiac Sensing
Sigma Delta ADC for Implantable Cardiac SensingSigma Delta ADC for Implantable Cardiac Sensing
Sigma Delta ADC for Implantable Cardiac SensingRamprasad Vijayagopal
 
At Lab Dcc Dpi7 Only V0.4
At Lab Dcc Dpi7 Only  V0.4At Lab Dcc Dpi7 Only  V0.4
At Lab Dcc Dpi7 Only V0.4alanlai.wae
 
Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Me...
Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Me...Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Me...
Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Me...Editor IJCATR
 
Digital control systems
Digital control systemsDigital control systems
Digital control systemsavenkatram
 
250 MHz Multiphase Delay Locked Loop for Low Power Applications
250 MHz Multiphase Delay Locked Loop for Low  Power Applications 250 MHz Multiphase Delay Locked Loop for Low  Power Applications
250 MHz Multiphase Delay Locked Loop for Low Power Applications IJECEIAES
 
First order sigma delta modulator with low-power
First order sigma delta modulator with low-powerFirst order sigma delta modulator with low-power
First order sigma delta modulator with low-powereSAT Publishing House
 
LTC2440 - High Speed Delta Sigma ADC
LTC2440 - High Speed Delta Sigma ADCLTC2440 - High Speed Delta Sigma ADC
LTC2440 - High Speed Delta Sigma ADCPremier Farnell
 
C211824
C211824C211824
C211824irjes
 
Mobile robotic platform to gathering real time sensory data in wireless perso...
Mobile robotic platform to gathering real time sensory data in wireless perso...Mobile robotic platform to gathering real time sensory data in wireless perso...
Mobile robotic platform to gathering real time sensory data in wireless perso...Alexander Decker
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2SUNODH GARLAPATI
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
Optimization of Digitally Controlled Oscillator with Low Power
Optimization of Digitally Controlled Oscillator with Low PowerOptimization of Digitally Controlled Oscillator with Low Power
Optimization of Digitally Controlled Oscillator with Low Poweriosrjce
 

La actualidad más candente (19)

Sigma delta adc
Sigma delta adcSigma delta adc
Sigma delta adc
 
Sigma Delta ADC for Implantable Cardiac Sensing
Sigma Delta ADC for Implantable Cardiac SensingSigma Delta ADC for Implantable Cardiac Sensing
Sigma Delta ADC for Implantable Cardiac Sensing
 
A 1.2V 10-bit 165MSPS Video ADC
A 1.2V 10-bit 165MSPS Video ADCA 1.2V 10-bit 165MSPS Video ADC
A 1.2V 10-bit 165MSPS Video ADC
 
At Lab Dcc Dpi7 Only V0.4
At Lab Dcc Dpi7 Only  V0.4At Lab Dcc Dpi7 Only  V0.4
At Lab Dcc Dpi7 Only V0.4
 
Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Me...
Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Me...Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Me...
Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Me...
 
Digital control systems
Digital control systemsDigital control systems
Digital control systems
 
250 MHz Multiphase Delay Locked Loop for Low Power Applications
250 MHz Multiphase Delay Locked Loop for Low  Power Applications 250 MHz Multiphase Delay Locked Loop for Low  Power Applications
250 MHz Multiphase Delay Locked Loop for Low Power Applications
 
FPGA Implementation of High Speed FIR Filters and less power consumption stru...
FPGA Implementation of High Speed FIR Filters and less power consumption stru...FPGA Implementation of High Speed FIR Filters and less power consumption stru...
FPGA Implementation of High Speed FIR Filters and less power consumption stru...
 
Timekeeper Wiring5
Timekeeper Wiring5Timekeeper Wiring5
Timekeeper Wiring5
 
First order sigma delta modulator with low-power
First order sigma delta modulator with low-powerFirst order sigma delta modulator with low-power
First order sigma delta modulator with low-power
 
LTC2440 - High Speed Delta Sigma ADC
LTC2440 - High Speed Delta Sigma ADCLTC2440 - High Speed Delta Sigma ADC
LTC2440 - High Speed Delta Sigma ADC
 
Fc36951956
Fc36951956Fc36951956
Fc36951956
 
C211824
C211824C211824
C211824
 
Mobile robotic platform to gathering real time sensory data in wireless perso...
Mobile robotic platform to gathering real time sensory data in wireless perso...Mobile robotic platform to gathering real time sensory data in wireless perso...
Mobile robotic platform to gathering real time sensory data in wireless perso...
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
1749 1756
1749 17561749 1756
1749 1756
 
Optimization of Digitally Controlled Oscillator with Low Power
Optimization of Digitally Controlled Oscillator with Low PowerOptimization of Digitally Controlled Oscillator with Low Power
Optimization of Digitally Controlled Oscillator with Low Power
 
K0216571
K0216571K0216571
K0216571
 

Destacado (20)

Et24912915
Et24912915Et24912915
Et24912915
 
Eq24896901
Eq24896901Eq24896901
Eq24896901
 
Dp24742745
Dp24742745Dp24742745
Dp24742745
 
Cr24608612
Cr24608612Cr24608612
Cr24608612
 
Bq24452456
Bq24452456Bq24452456
Bq24452456
 
Em24873876
Em24873876Em24873876
Em24873876
 
Cl24579584
Cl24579584Cl24579584
Cl24579584
 
Bt24466477
Bt24466477Bt24466477
Bt24466477
 
Dm24727732
Dm24727732Dm24727732
Dm24727732
 
Ex24937942
Ex24937942Ex24937942
Ex24937942
 
Ci24561565
Ci24561565Ci24561565
Ci24561565
 
Ca24516522
Ca24516522Ca24516522
Ca24516522
 
Cw24640644
Cw24640644Cw24640644
Cw24640644
 
Cf24544550
Cf24544550Cf24544550
Cf24544550
 
Dd24681685
Dd24681685Dd24681685
Dd24681685
 
Apresentação dos resultados 4 t11
Apresentação dos resultados 4 t11Apresentação dos resultados 4 t11
Apresentação dos resultados 4 t11
 
França enviar novo
França enviar novoFrança enviar novo
França enviar novo
 
Task 1 max watts
Task 1 max wattsTask 1 max watts
Task 1 max watts
 
Assessment
Assessment Assessment
Assessment
 
Base da vida
Base da vidaBase da vida
Base da vida
 

Similar a Global clock calibration for SAR ADC interface

06 ijece anil kumar_sahu edit sat
06 ijece anil kumar_sahu edit sat06 ijece anil kumar_sahu edit sat
06 ijece anil kumar_sahu edit satIAESIJEECS
 
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...IOSR Journals
 
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...IOSR Journals
 
Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Editor IJARCET
 
Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Editor IJARCET
 
Low power reduced instruction set architecture using clock gating technique
Low power reduced instruction set architecture using clock gating techniqueLow power reduced instruction set architecture using clock gating technique
Low power reduced instruction set architecture using clock gating techniqueVLSICS Design
 
12-Bit 1MSps SAR ADC For System-On-Chip
12-Bit 1MSps SAR ADC For System-On-Chip12-Bit 1MSps SAR ADC For System-On-Chip
12-Bit 1MSps SAR ADC For System-On-ChipSheila Sinclair
 
IRJET- Implementation of 16-Bit Pipelined ADC using 180nm CMOS Technology
IRJET-  	  Implementation of 16-Bit Pipelined ADC using 180nm CMOS TechnologyIRJET-  	  Implementation of 16-Bit Pipelined ADC using 180nm CMOS Technology
IRJET- Implementation of 16-Bit Pipelined ADC using 180nm CMOS TechnologyIRJET Journal
 
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...IJERA Editor
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYVLSICS Design
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYVLSICS Design
 
Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...researchinventy
 
Research Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and ScienceResearch Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and Scienceresearchinventy
 
underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps Mohd Sohail
 

Similar a Global clock calibration for SAR ADC interface (20)

06 ijece anil kumar_sahu edit sat
06 ijece anil kumar_sahu edit sat06 ijece anil kumar_sahu edit sat
06 ijece anil kumar_sahu edit sat
 
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
 
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
Design of Adjustable Reconfigurable Wireless Single Core CORDIC based Rake Re...
 
Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245
 
Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245Ijarcet vol-2-issue-7-2241-2245
Ijarcet vol-2-issue-7-2241-2245
 
Low power reduced instruction set architecture using clock gating technique
Low power reduced instruction set architecture using clock gating techniqueLow power reduced instruction set architecture using clock gating technique
Low power reduced instruction set architecture using clock gating technique
 
43 131-1-pb
43 131-1-pb43 131-1-pb
43 131-1-pb
 
Vlsics08
Vlsics08Vlsics08
Vlsics08
 
12-Bit 1MSps SAR ADC For System-On-Chip
12-Bit 1MSps SAR ADC For System-On-Chip12-Bit 1MSps SAR ADC For System-On-Chip
12-Bit 1MSps SAR ADC For System-On-Chip
 
72
7272
72
 
Ju2416921695
Ju2416921695Ju2416921695
Ju2416921695
 
Design and memory optimization of hybrid gate diffusion input numerical contr...
Design and memory optimization of hybrid gate diffusion input numerical contr...Design and memory optimization of hybrid gate diffusion input numerical contr...
Design and memory optimization of hybrid gate diffusion input numerical contr...
 
IRJET- Implementation of 16-Bit Pipelined ADC using 180nm CMOS Technology
IRJET-  	  Implementation of 16-Bit Pipelined ADC using 180nm CMOS TechnologyIRJET-  	  Implementation of 16-Bit Pipelined ADC using 180nm CMOS Technology
IRJET- Implementation of 16-Bit Pipelined ADC using 180nm CMOS Technology
 
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
 
Wireless Sensor Network
Wireless Sensor NetworkWireless Sensor Network
Wireless Sensor Network
 
Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...
 
Research Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and ScienceResearch Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and Science
 
underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps
 

Global clock calibration for SAR ADC interface

  • 1. International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.847-850 Global clock calibration for an SAR ADC interface Abstract— This paper presents the interface circuit clocks at the transmitter side and the receiving part which incorporates the technique of analog value can be stopped for the asynchronous data transfer. to digital value conversion utilising a self clocking Such a combination of the features of synchronous method. The architecture consists of the Globally and the asynchronous communication leads to Asynchronous and Locally Synchronous (GALS) enhancement of decreasing the unwanted strain of building blocks, where the processing hardware the clock skew in the overall circuitry. is being realized by the set of smaller slices of Clock skew factor is generally the variation in similar structure, each running synchronously timing of one signal in a comparison to the second with independent clocks. This is used in different signal, caused due to timing or propagation delay. real-time commercial applications like digital filtering, fourier transform applications. The described architecture will allow for load- balancing depending specifically on the input load which is present in all of the used processing units. The architecture itself leads to process tolerance since it capable of detecting process variations in each and every individually present processing module and is able to finely determine the appropriate operating frequency that is finely applicable for each of the modules. Once the clock acting as a local circuit has been appropriately adjusted, it needs no further Fig. 1 Depiction of occurrence of clock skew precision measurement and calculation. Also, it is efficiently free from the various changes Fig. 1 is a depiction of occurrence of the clock occurring mainly because of the different and skew in the shown circuit. In this circuit, clock skew unique environmental effects. The main factor will generally be exhibited due to the two consideration has been laid for the efficient and different delays, DELAY T1 and DELAY T2. There the proper kind of established communication may be basically the two types of sets of violations between the present modules used in the which exist due to presence of the clock skew in a interfacing. circuit: hold type violation and set-up type violation. The former type violation will occur when the output Keywords— GALS, ADC, clock skew, block, for example, a flip-flop receives the clock asynchronous interconnect, SAR signal after sometime than the input block flip-flop. I. INTRODUCTION The set-up type violation [2] is generated in case the Analog to Digital Converters (ADCs) next clock transition or edge arrives at the output perform mainly the function of translating analog block before the establishing of any new valid data form into digital quantity form. These are preferably to be mainly sent to the output. used for information processing, in computing [1], data transmission and various control system II. ARCHITECTURES applications. ADCs are considered as the base components while designing for limited power requirement systems. In the paper, description of a specific type of Analog to Digital Converter is being made. The type used is primarily of the Successive Approximation Register (SAR). Additionally, there is inculcation of a global clock calibration scheme to induce the technique of Globally Asynchronous Locally Synchronous. Fig. 2 A general block diagram for an ADC GALS designs work upon the concept of providing truly the possibility of an asynchronous Fig. 2 shows the general diagram for a simple ADC communication in between the locally placed circuit. In terms of number system, the input will be synchronous blocks. Each of these locally placed fed in decimal form and output will be in binary synchronous blocks is capable of using an oscillator form. of ring type for generation of the clock. Both the 847 | P a g e
  • 2. International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.847-850  It initiates the part of process of the sampling for the ADC ,  It will generate the multiplexer select signals for analog multiplexers used,  It carries out the implementation part of memory mapping for the registers for the ADC. This ADC interface consists of the various sub- modules as given below:  The ADC Interface Module,  The ADC Multiplexer Interface Module, Fig. 3 An N-Bit SAR type ADC  The ADC Registers Module. The signals depicted in the block diagram for the In Fig. 3, an N-Bit SAR (Successive SAR ADC interface can be described as: Approximation Register) type of the ADC (Analog  I_FPGA_POR_N represents the to Digital Converter) is highlighted. The analog synchronized core reset signal which is input, through the track and the hold circuit is being going as the input to the Interface block fed to the integrator circuit. Such a type of adjustable for the ADC, arrangement for the N-Bit ADC will require N  I_FPGA_CLK_40MHz is the FPGA clock number of comparison periods [1]. This kind of input signal to the ADC Interface block, topology is mostly being used to allow for having  I_ADC1_SDOA is the serial input from the reduced power dissipation, but there is the unwanted A register of ADC1, addition to pay for the disadvantage of a slow  I_ADC1_SDOB is the serial input data sampling rate [4]. The main benefit of an SAR type from B register of ADC1, ADC is the good ratio value of speed factor to the power. Such a structure holds the benefit of having a  I_ADC_REG_SEL(5:0) is the register sleek design in comparison to a flash type ADC. select input address line utilized to get This makes an SAR type ADC an inexpensive data from A registers and B registers device [3]. (registers A1 to A8 and registers B1 to Now, for the design process and implementation of B8) based on the select line during the the 12-bit SAR ADC with the combination of GALS read operation, concept, the handshake signals can be utilized.  O_ADC1_CS_N is acting as the output Considering the handshake-based architecture of from the ADC interface block GALS, there will be a communication directly in representing the ADC Chip Select Active between the synchronous components through the Low Signal, handshaking signals. An RTU (a receiver-transmitter  O_ADC1_SCLK_10MHz is the output unit) is incorporated [2] to each component for ADC Serial Clock Signal with frequency ensuring the proper request-acknowledgement of 10 MHz, signals based GALS handshake signaling. Each of  O_MUX1_ADDR(2:0) is another output the individual signals carrying justified and valid from the ADC interface block for the data is furnished with two handshake signals: ADC multiplexer selection signal, request and acknowledge.  O_ADC_R_DATA (19:0) is another output from the ADC interface block for the reading of the valid data required from the register module based upon address bus selection during read operation. The amount of power variable consumed in this circuit is mainly divided into the three different parameters [1]. These are broadly classified as follows:  Dynamic power consumption – This type occurs on the variation of the logic states of the signals.  Short circuit type power consumption – It Fig. 4 Block Diagram for a 12-bit ADC interface occurs when a direct conducting path is developed between the main power supply Fig.4 depicts the input and output signal portion and the ground during the directions for the 12-bit ADC interface. The ADC switching state of the PMOS type and has been interfaced to the Field Programmable Gate NMOS type transistors present in the Array (FPGA). The important functions of this ADC circuit. interface are as follows: 848 | P a g e
  • 3. International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.847-850  Leakage or static power consumption The other signals have been described in the parameter – This parameter of power previous diagram. In the prescribed ADC model, consumption occurs due to electric current there are various important parameters which are flow from the power rails when none of the proved to be eminent for the evaluation criteria of its switching activities are taking place. Two performance [1]. Some of these are: main sources of leakage in the MOS  DNL – DNL stands for Differential Non- transistors include the sub-threshold Linearity error value unit. It is uniquely leakage and the gate-oxide leakage. the difference point value between the As the size of MOS transistors is being reduced actual width of a step and1 LSB’s ideal to very deep submicron sizes, unwanted value. consequences related to power consumption are  INL - INL is the abbreviation for the arising. By causing decrease in the dimensional Integral Non Linearity error point value. It parameters for the used transistors, there arises a highlights the maximum value for requirement to cause a linear corresponding decline deviation of the transition point of any in the supply voltage value in order to get imposed a conversion at a particular instant to the restriction on the dynamic power consumption corresponding point of transition for an within reasonable limits. ideal conversion.  SNR – It stands for Signal to the Noise Ratio unit.  SFDR – It stands for Spurious Free Dynamic Range. III. RESULTS The results for the GALS based SAR ADC have been achieved with the Xilinx Synthesis Tool and ISE Simulator (ISim). Fig. 5 Top level entity for the 12-bit ADC interface block Fig. 5 represents the top level entity window for the 12-bit ADC interface block. The signals as in Fig. 5 are:  O_ADC_SAMP_A(11:0) is the output signal representing the serial data from ADC converted into parallel data for register A,  O_ADC_SAMP_B(11:0) is the output signal representing the serial data from ADC converted into parallel data for register B,  O_ADC_Mux_En is the Multiplexer address switching trigger pulse,  O_Reg_Sum_En is the data valid pulse for indicating the reading process of serial data Fig. 6 Simulation results for 12-bit ADC interface from 12-bit ADC which is converted into block parallel data,  O_Reg_Sw_En is the register enable pulse. 849 | P a g e
  • 4. International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.847-850 Fig. 6 shows the simulation result window for the Samples in one Second (kSPS) to Mega Samples per 12-bit ADC interface block. The design block has Second (MSPS) [3]. The SAR type of ADC interface been brought out by synthesis using the xc3s50 implemented allows the inclusion of GALS device of Spartan 3 family. The output parameters methodology. Also, the inculcation of the self achieved in terms of area overhead are as follows: clocking technique has been largely indulged in the  Number of slice flip-flops – 30, process of implementation of the SAR ADC. So,  Number of 4 input LUTs – 30, there has been prevention of metastability in the  Number of occupied slices – 28. circuit. A metastable state can also be synonym to a The operating frequency for the ADC interface quasi-stable state. was 186.296 MHz. Considering the input signal side, an ADC circuit may be classified as the single ACKNOWLEDGMENT ended signal type or a fully differential signal type The author would like to thank firstly [1]. In the single ended ADC, all the signals are Almighty God and family members for their generally referred together to a common ground precious support. Also, thanks are extended to portion. In the fully differential ADC, the two inputs colleagues, Mr. Nitin Kumar and Ms. Geetanjali are generally 180º angle out of phase. The Fig. 7 is Ralh for their encouragement and valuable showing the floorplanning window for the ADC suggestions. interface. REFERENCES [1] G. Beanato, ―Design of a Very Low Power SAR Analog to Digital Converter,‖ Master thesis, Microelectronics System Laboratory, Lausanne, August 2009. [2] S. M. Suhaib, ―Formal Methods for Intellectual Property Composition Across Synchronization Domains,‖ Virginia Polytechnic Institute & State University Blacksburg, VA, USA, 2007, ISBN: 978-0-549-32243-6. [3] N. Barot, ―Successive Approximation Analog to Digital Converter,‖ Master project report, San Jose State University, April 2010. [4] R. Dugosz, K. Iniewski, "Flexible Architecture of Ultra Low Power Current Mode Interleaved Successive Approximation Analog to Digital Converter for Wireless Sensor Networks" Hindawi Publishing Corporation VLSI Design Volume 2007, Article ID 45269, 2007. Fig. 7 Floorplanning window for ADC interface IV. CONCLUSIONS The conceptual point for the main Globally Asynchronous Locally Synchronous design circuit is the enabling of handshaking signals between the synchronously placed blocks. However, unavailability of appropriate tools and the different design methodologies for facilitating the GALS designs [2] is still present. In many cases, GALS based designs are constructed using ad hoc type methods. For these methods, the synchronous parts are encapsulated using some wrapper concept. The communication process is done either by handshake principles or by bounded FIFOs concept. An SAR type ADC is suitable for the medium type resolution effect with high speed ranging from Kilo 850 | P a g e