SlideShare a Scribd company logo
1 of 4
Download to read offline
Soumya Gadag, Raviraj D.Chougala / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 6, November- December 2012, pp.1695-1698
Design and Analysis of 6T SRAM Cell with low Power Dissipation
                          Soumya Gadag*, Raviraj D.Chougala**
   *(Assistant Professor Department of Electronics and Communication Angadi Institute of Technology and
                                        Management Belgaum, India
  ** (Assistant Professor Department of Electronics and Communication Angadi Institute of Technology and
                                        Management Belgaum, India


Abstract
         In the current technology demand for            leakage power by adding some transistors. Each
SRAM is increasing drastically due to its usage in       technique provides an efficient way to reduce the
almost all embedded systems, forms a integral            leakage power, but disadvantage of each technology
part of computer, System On Chip and high                limit the application of each technique. In this paper
performance processors and VLSI circuits etc.            SRAM cell was designed with different technology
The Power Consumption has become a major                 and analyze the power consumption in each
concern in Very Large Scale Integration circuit          technique.
designs and reducing the power dissipation has
become challenge to the Low power VLSI                   II. CONVENTIONAL 6T SRAM CELL
designers. As power dissipation increases with the                 In a 6T cell, it consists of 6 Transistors and
scaling of the technologies. As the feature size         each bit in SRAM is stored on four transistors that
shrinks ,static power has become a great                 form two cross- coupled inverters. This storage cell
challenge for current and future technologies. In        has two stable states which is used to denote 0 and 1.
this research work, we design 6T SRAM and                Two nMOS transistors serves as the access
some of the techniques to reduce the leakage             transistors to control the access to storage cell during
power using like sleep approach, stack approach          read and write operation. Access to the cell is enabled
techniques which reduces the leakage power               by the word line which controls the two access
without changing the exact operation of SRAM.            transistors M5 and M6 which in turn control whether
The proposed circuits were designed in 0.18um            the cell to be connected to BL and BLB. They are
CMOS VLSI technology with a Microwind tool,              used to transfer data for both read and write
and measure the power dissipation for the                operations. Both BL and BLB are used to improve
different design approach in Advanced BSIM4              the Noise Margins.
level. Power reduction is achieved with associated
area overhead. The total power dissipation is
lower by 40% as compared to the 6T SRAM cell.

key words- Power dissipation, sleep, stack, leakage
power.

I. INTRODUCTION
          SRAM has become a major component in
many VLSI Chips due to their large storage density
and small access time. SRAM has become the topic
of substantial research due to the rapid development
for low power, low voltage memory design during
recent years due to increase demand for notebooks,
laptops, IC memory cards and hand held                   Fig1a: Schematic for basic 6T SRAM Cell
communication devices. Power dissipation consists
of dynamic power and static power. Static power is       III. LEAKAGE POWER REDUCTION CIRCUITS
the power dissipated in the design in the absence of     A. SRAM Cell with Sleep Transistor
any switching activity and is defined as the product               In present deep-sub micrometer devices
of supply voltage and the leakage current.               with low threshold voltages, sub threshold and gate
         The rising demand for multimedia rich           leakage are dominant sources of leakage and are
applications in handheld devices continues to drive      expected to increase with the technology scaling.
the need for large and high speed SRAM to enhance        Solutions for leakage reduction is required at the both
the system performance. The portable hand held           process technology and circuit levels [3]. One of the
devices need to reduce the dynamic and static power      methods is to have an nMOS connected to virtual
consumption in order to meet the battery life time. In   ground terminal as shown in Fig.2 . Effect of sleep
this work an attempt has been made to reduce the         transistor is negligible as far as error is concerned.


                                                                                               1695 | P a g e
Soumya Gadag, Raviraj D.Chougala / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 6, November- December 2012, pp.1695-1698
The sleep transistor is required to completely
discharge virtual ground to real ground prior to
turning on the write line (WL) of cell.




                                                             Fig 3a: Schematic of Ultra Low-power 8T SRAM
                                                                Cell

                                                                       The information written in the SRAM cell
                                                             gets reinforced by the action of pMOS and nMOS
                                                             transistors in either inverter, as long as the power is
Fig 2a: schematic of SRAM Cell with Sleep                    there. During inactive state, WL=0, but due to the
transistor                                                   cross coupled inverters and low power stack
                                                             transistors, M7 and M8, in the pull-down path, data
          Sleep signal is high in normal operation and       stored remains uncorrupted, at reduced power.
present as small resistance as possible. Proper              C. 6T SRAM Cell using stacking Technique
selection of sleep transistor is required as it affect the
trade off. It should be wide to minimize supply                        In the 6T SRAM cell, is shown in Fig.4a the
fluctuation. At the same time higher threshold result        transistors M8, M4, M10 and M6 form cross coupled
in better leakage suppression [6]. This 6T SRAM              inverters. The basic idea behind our approach for
contain two cross coupled inverters suitable for low         reduction of leakage power is the effective stacking
power design. The sizing of inverters in SRAM cell           of transistors in the path from supply voltage to
does not correspond to electron hole mobility ratio,         ground. This is based on the observation made in [4]
also the access transistors must be sized such that          that “a state with more than one transistor OFF in a
stored bits are not flipped during a read operation .        path from supply voltage to ground is far less leaky
Thus driver nMOS transistors must be stronger                than a state with only one transistor OFF in any
(larger W/L) than access transistors.                        supply to ground path.” In our method, we introduce
                                                             two leakage control transistors in each inverter pair
B. Ultra Low-power 8T SRAM Cell                              such that one of the leakage control transistor is near
          The 8T SRAM cell consists of two cross-            its cutoff region of operation. Two leakage control
coupled inverters made up of transistors M1, M3 and          transistors (PMOS) and (NMOS) are introduced
M2, M4. The transistors M5, M6 are access                    between the nodes and of the pull-up and pull-down
transistors. The two additional NMOS transistors M7          logic of the inverter. The drain nodes of the
and M8, one each in pull down path of cross coupled          transistors and are connected together to form the
inverters are used to achieve leakage power                  output node of the Inverter. The source nodes of the
reduction. The access transistors are connected to the       transistors are connected to nodes of pull-up and pull-
word line at their respective gate terminals, and the        down logic, respectively. The switching of transistors
bit-lines at their drain terminals. The word line is         is controlled by the voltage potentials at nodes
used to select the cell while the bit lines are used to      respectively. This wiring configuration ensures that
perform write and read operations on the cell. The           one of the leakage control transistor is always near its
node Q holds the stored value while other node QN            cutoff region, irrespective of the input. Hence the
holds its complement. The two complementary bit              resistance of will be lesser than it‟s OFF resistance,
lines are used to improve speed of write and read            allowing conduction. Even though the resistance of is
operations. The 8T SRAM cell is shown in Fig. 2.             not as high as it‟s OFF state resistance, it increases
The SRAM cell is symmetric and hence M1=M2,                  the resistance of to ground path, controlling the flow
M3=M4, M5=M6, M7=M8 [1].                                     of leakage currents, resulting in leakage power
          During write operation, word line (WL) is          reduction. Thus, the introduction of leakage control
made „1‟ and data to be written and its complement           transistors increases the resistance of the path from
are imposed on the two complementary bit lines, BIT          supply voltage to ground[5].
and BITN. The data on the bit lines gets stored onto
the SRAM cell nodes „Q‟ and „QN‟ through access
transistors, M5 and M6.[7]



                                                                                                   1696 | P a g e
Soumya Gadag, Raviraj D.Chougala / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 6, November- December 2012, pp.1695-1698




Fig4a: Schematic of 6TSRAM Cell using stacking
Technique

 IV. MOTIVATION                                            Fig 1c: Layout for basic 6T SRAM cell
          In the past few years a remarkable rise in
VLSI fabrication has led to increase the densities of
integrated circuits by decreasing the device
geometries. Such high density circuits support high
design complexities and very high speed but
susceptible to power consumption. Circuits with
excessive power dissipation are more susceptible to
run time failures and give rise to reliability problems.
The other factors behind the low power design is
growing class of hand held devices, e.g., as portable      Fig2b: Simulation results of SRAM Cell with Sleep
desktops, digital pens, audio and video based              transistor
multimedia products and wireless communications
such as PDA‟s and smartcards, etc.[2]
          These devices and systems demand high
speed and complex design functionalities. The
performance of these devices is limited by the size,
weight and lifetime of portable batteries. Memory
design is an integral part in these devices and so
reducing the power dissipation in these can improve
the system power efficiency, performance, reliability.
In this paper provides the some possible solutions for
low power dissipation which in turn provides Low
power SRAM Cell required for designing memory              Fig2c: Layout of SRAM Cell with Sleep transistor
systems.

 V. SIMULATION RESULTS




                                                           Fig3b: Simulation results of Ultra Low-power 8T
                                                           SRAM Cell




Fig1b: Simulation results for basic 6T SRAM Cell           Fig 3c: Layout of Ultra Low-power 8T SRAM Cell


                                                                                              1697 | P a g e
Soumya Gadag, Raviraj D.Chougala / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 6, November- December 2012, pp.1695-1698
                                                               Circuit Technique to Reduce Leakage in
                                                               Deep submicron Cache Memories,”
                                                               International Symposium on Low Power
                                                               Electronics and Design, pp. 90-95, July
                                                               2000.
                                                         [4]   Z. Chen, M. Johnson, L. Wei and K. Roy,
                                                               “Estimation of Standby Leakage Power in
Fig4b: Simulation results 6TSRAM Cell using                    CMOS Circuits Considering Accurate
stacking Technique                                             Modeling       of    Transistor   Stacks,”
                                                               International Symposium on Low Power
                                                               Electronics and Design, pp. 239- 244,
                                                               August 1998.
                                                         [5]   Atluri.Jhansi,K.Harikishore,    “Designing
                                                               anad Analysis of 8Bit SRAM Cell with
                                                               Low Subthreshold Leakage Power.
                                                         [6]   Andrei Pavlov, and Manoj Sachdev,
Fig4c:Layout of 6TSRAM Cell using stacking                     “CMOS SARM Design and Parametric
Technique                                                      Test in NAno-Scaled Technology: Process-
                                                               Aware SRAM Design and Test,” Springer,
   Power dissipation Analysis between Basic SRAM               2008.
and SRAM using leakage power reduction technique         [7]   R. Jacob Baker, H.W. Li, D.E. Boyce, “
                                                               CMOS Circuit Design, Layout and
                                                               Simulation,” PHI 1998.




VI.CONCLUSION
          In this paper we designed SRAM cell using
leakage power reduction techniques, to reduce power
dissipation. The anticipated circuits were designed in
0.18um technology and analyzed for              power
dissipation. Here we observed that in order to achieve
low power consumption we compromised with area
and delay compared to the basic 6T SRAM .based on
the simulation results of SRAM, there is 40%
reduction in power dissipation. Hence we conclude
the proposed SRAM circuits used for low power
designs and these designed techniques are used to
improve the performance and can be used for low
power applications.

REFERENCES
  [1]    H.P.Rajani and Shrimannarayan Kulkarni
         “Ultra low power 50nm SRAM for
         Temperature Invarient Data Retention”in
         CIIT 2011,CCIS 250,pp. 87-92.
  [2]    International Technology Roadmap for
         Semiconductors by Semiconductor Industry
         Association, http://public.itrs.net, 2007.
  [3]    M. Powell, S.-H. Yang, B. Falsafi, K. Roy
         and T. N. Vijay Kumar, “Gated-Vdd: A



                                                                                         1698 | P a g e

More Related Content

What's hot

emerging memory technologies Document
emerging memory technologies Documentemerging memory technologies Document
emerging memory technologies DocumentVidya Baddam
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...
2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...
2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...Sofics
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET Journal
 
Ground bounce mtcmos
Ground bounce mtcmosGround bounce mtcmos
Ground bounce mtcmossateeesh7
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology csandit
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionIJMTST Journal
 
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...IOSRJVSP
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET Journal
 
3512vlsics05
3512vlsics053512vlsics05
3512vlsics05arathyg
 
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...VLSICS Design
 

What's hot (18)

emerging memory technologies Document
emerging memory technologies Documentemerging memory technologies Document
emerging memory technologies Document
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
B0540714
B0540714B0540714
B0540714
 
2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...
2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...
2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
 
Ground bounce mtcmos
Ground bounce mtcmosGround bounce mtcmos
Ground bounce mtcmos
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
 
3512vlsics05
3512vlsics053512vlsics05
3512vlsics05
 
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
 

Viewers also liked

Viewers also liked (20)

Ii2616161619
Ii2616161619Ii2616161619
Ii2616161619
 
Ip2616541659
Ip2616541659Ip2616541659
Ip2616541659
 
Il2616361640
Il2616361640Il2616361640
Il2616361640
 
Metodos UEPS
Metodos UEPSMetodos UEPS
Metodos UEPS
 
VoceéEspecial......Lin
VoceéEspecial......LinVoceéEspecial......Lin
VoceéEspecial......Lin
 
OKFN Taiwan
OKFN TaiwanOKFN Taiwan
OKFN Taiwan
 
A IMPORTÂNCIA DA VISUALIZAÇÃO DE DADOS
A IMPORTÂNCIA DA VISUALIZAÇÃO DE DADOSA IMPORTÂNCIA DA VISUALIZAÇÃO DE DADOS
A IMPORTÂNCIA DA VISUALIZAÇÃO DE DADOS
 
Vine and Branches Summer 2013
Vine and Branches Summer 2013 Vine and Branches Summer 2013
Vine and Branches Summer 2013
 
Curso básico de italiano (em portugues) (1)
Curso básico de italiano (em portugues) (1)Curso básico de italiano (em portugues) (1)
Curso básico de italiano (em portugues) (1)
 
Dubai car rentals
Dubai car rentalsDubai car rentals
Dubai car rentals
 
Telecomunicacions pae-2010
Telecomunicacions pae-2010Telecomunicacions pae-2010
Telecomunicacions pae-2010
 
Lyrom pdf
Lyrom pdfLyrom pdf
Lyrom pdf
 
10 casosdefactoreo
10 casosdefactoreo  10 casosdefactoreo
10 casosdefactoreo
 
Diapocitiva 1
Diapocitiva 1Diapocitiva 1
Diapocitiva 1
 
Take one - Folders displays
Take one - Folders displays Take one - Folders displays
Take one - Folders displays
 
Latest Abaya Collection 2013
Latest Abaya Collection 2013Latest Abaya Collection 2013
Latest Abaya Collection 2013
 
Ar 201 cocuriculum (netball)
Ar 201   cocuriculum (netball)Ar 201   cocuriculum (netball)
Ar 201 cocuriculum (netball)
 
Myrna
MyrnaMyrna
Myrna
 
33167603 an2 a-qim-artes-expressoes
33167603 an2 a-qim-artes-expressoes33167603 an2 a-qim-artes-expressoes
33167603 an2 a-qim-artes-expressoes
 
Comportamientolizeth
ComportamientolizethComportamientolizeth
Comportamientolizeth
 

Similar to Iw2616951698

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSEditor IJMTER
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Scienceresearchinventy
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 

Similar to Iw2616951698 (20)

Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Science
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 

Iw2616951698

  • 1. Soumya Gadag, Raviraj D.Chougala / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1695-1698 Design and Analysis of 6T SRAM Cell with low Power Dissipation Soumya Gadag*, Raviraj D.Chougala** *(Assistant Professor Department of Electronics and Communication Angadi Institute of Technology and Management Belgaum, India ** (Assistant Professor Department of Electronics and Communication Angadi Institute of Technology and Management Belgaum, India Abstract In the current technology demand for leakage power by adding some transistors. Each SRAM is increasing drastically due to its usage in technique provides an efficient way to reduce the almost all embedded systems, forms a integral leakage power, but disadvantage of each technology part of computer, System On Chip and high limit the application of each technique. In this paper performance processors and VLSI circuits etc. SRAM cell was designed with different technology The Power Consumption has become a major and analyze the power consumption in each concern in Very Large Scale Integration circuit technique. designs and reducing the power dissipation has become challenge to the Low power VLSI II. CONVENTIONAL 6T SRAM CELL designers. As power dissipation increases with the In a 6T cell, it consists of 6 Transistors and scaling of the technologies. As the feature size each bit in SRAM is stored on four transistors that shrinks ,static power has become a great form two cross- coupled inverters. This storage cell challenge for current and future technologies. In has two stable states which is used to denote 0 and 1. this research work, we design 6T SRAM and Two nMOS transistors serves as the access some of the techniques to reduce the leakage transistors to control the access to storage cell during power using like sleep approach, stack approach read and write operation. Access to the cell is enabled techniques which reduces the leakage power by the word line which controls the two access without changing the exact operation of SRAM. transistors M5 and M6 which in turn control whether The proposed circuits were designed in 0.18um the cell to be connected to BL and BLB. They are CMOS VLSI technology with a Microwind tool, used to transfer data for both read and write and measure the power dissipation for the operations. Both BL and BLB are used to improve different design approach in Advanced BSIM4 the Noise Margins. level. Power reduction is achieved with associated area overhead. The total power dissipation is lower by 40% as compared to the 6T SRAM cell. key words- Power dissipation, sleep, stack, leakage power. I. INTRODUCTION SRAM has become a major component in many VLSI Chips due to their large storage density and small access time. SRAM has become the topic of substantial research due to the rapid development for low power, low voltage memory design during recent years due to increase demand for notebooks, laptops, IC memory cards and hand held Fig1a: Schematic for basic 6T SRAM Cell communication devices. Power dissipation consists of dynamic power and static power. Static power is III. LEAKAGE POWER REDUCTION CIRCUITS the power dissipated in the design in the absence of A. SRAM Cell with Sleep Transistor any switching activity and is defined as the product In present deep-sub micrometer devices of supply voltage and the leakage current. with low threshold voltages, sub threshold and gate The rising demand for multimedia rich leakage are dominant sources of leakage and are applications in handheld devices continues to drive expected to increase with the technology scaling. the need for large and high speed SRAM to enhance Solutions for leakage reduction is required at the both the system performance. The portable hand held process technology and circuit levels [3]. One of the devices need to reduce the dynamic and static power methods is to have an nMOS connected to virtual consumption in order to meet the battery life time. In ground terminal as shown in Fig.2 . Effect of sleep this work an attempt has been made to reduce the transistor is negligible as far as error is concerned. 1695 | P a g e
  • 2. Soumya Gadag, Raviraj D.Chougala / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1695-1698 The sleep transistor is required to completely discharge virtual ground to real ground prior to turning on the write line (WL) of cell. Fig 3a: Schematic of Ultra Low-power 8T SRAM Cell The information written in the SRAM cell gets reinforced by the action of pMOS and nMOS transistors in either inverter, as long as the power is Fig 2a: schematic of SRAM Cell with Sleep there. During inactive state, WL=0, but due to the transistor cross coupled inverters and low power stack transistors, M7 and M8, in the pull-down path, data Sleep signal is high in normal operation and stored remains uncorrupted, at reduced power. present as small resistance as possible. Proper C. 6T SRAM Cell using stacking Technique selection of sleep transistor is required as it affect the trade off. It should be wide to minimize supply In the 6T SRAM cell, is shown in Fig.4a the fluctuation. At the same time higher threshold result transistors M8, M4, M10 and M6 form cross coupled in better leakage suppression [6]. This 6T SRAM inverters. The basic idea behind our approach for contain two cross coupled inverters suitable for low reduction of leakage power is the effective stacking power design. The sizing of inverters in SRAM cell of transistors in the path from supply voltage to does not correspond to electron hole mobility ratio, ground. This is based on the observation made in [4] also the access transistors must be sized such that that “a state with more than one transistor OFF in a stored bits are not flipped during a read operation . path from supply voltage to ground is far less leaky Thus driver nMOS transistors must be stronger than a state with only one transistor OFF in any (larger W/L) than access transistors. supply to ground path.” In our method, we introduce two leakage control transistors in each inverter pair B. Ultra Low-power 8T SRAM Cell such that one of the leakage control transistor is near The 8T SRAM cell consists of two cross- its cutoff region of operation. Two leakage control coupled inverters made up of transistors M1, M3 and transistors (PMOS) and (NMOS) are introduced M2, M4. The transistors M5, M6 are access between the nodes and of the pull-up and pull-down transistors. The two additional NMOS transistors M7 logic of the inverter. The drain nodes of the and M8, one each in pull down path of cross coupled transistors and are connected together to form the inverters are used to achieve leakage power output node of the Inverter. The source nodes of the reduction. The access transistors are connected to the transistors are connected to nodes of pull-up and pull- word line at their respective gate terminals, and the down logic, respectively. The switching of transistors bit-lines at their drain terminals. The word line is is controlled by the voltage potentials at nodes used to select the cell while the bit lines are used to respectively. This wiring configuration ensures that perform write and read operations on the cell. The one of the leakage control transistor is always near its node Q holds the stored value while other node QN cutoff region, irrespective of the input. Hence the holds its complement. The two complementary bit resistance of will be lesser than it‟s OFF resistance, lines are used to improve speed of write and read allowing conduction. Even though the resistance of is operations. The 8T SRAM cell is shown in Fig. 2. not as high as it‟s OFF state resistance, it increases The SRAM cell is symmetric and hence M1=M2, the resistance of to ground path, controlling the flow M3=M4, M5=M6, M7=M8 [1]. of leakage currents, resulting in leakage power During write operation, word line (WL) is reduction. Thus, the introduction of leakage control made „1‟ and data to be written and its complement transistors increases the resistance of the path from are imposed on the two complementary bit lines, BIT supply voltage to ground[5]. and BITN. The data on the bit lines gets stored onto the SRAM cell nodes „Q‟ and „QN‟ through access transistors, M5 and M6.[7] 1696 | P a g e
  • 3. Soumya Gadag, Raviraj D.Chougala / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1695-1698 Fig4a: Schematic of 6TSRAM Cell using stacking Technique IV. MOTIVATION Fig 1c: Layout for basic 6T SRAM cell In the past few years a remarkable rise in VLSI fabrication has led to increase the densities of integrated circuits by decreasing the device geometries. Such high density circuits support high design complexities and very high speed but susceptible to power consumption. Circuits with excessive power dissipation are more susceptible to run time failures and give rise to reliability problems. The other factors behind the low power design is growing class of hand held devices, e.g., as portable Fig2b: Simulation results of SRAM Cell with Sleep desktops, digital pens, audio and video based transistor multimedia products and wireless communications such as PDA‟s and smartcards, etc.[2] These devices and systems demand high speed and complex design functionalities. The performance of these devices is limited by the size, weight and lifetime of portable batteries. Memory design is an integral part in these devices and so reducing the power dissipation in these can improve the system power efficiency, performance, reliability. In this paper provides the some possible solutions for low power dissipation which in turn provides Low power SRAM Cell required for designing memory Fig2c: Layout of SRAM Cell with Sleep transistor systems. V. SIMULATION RESULTS Fig3b: Simulation results of Ultra Low-power 8T SRAM Cell Fig1b: Simulation results for basic 6T SRAM Cell Fig 3c: Layout of Ultra Low-power 8T SRAM Cell 1697 | P a g e
  • 4. Soumya Gadag, Raviraj D.Chougala / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1695-1698 Circuit Technique to Reduce Leakage in Deep submicron Cache Memories,” International Symposium on Low Power Electronics and Design, pp. 90-95, July 2000. [4] Z. Chen, M. Johnson, L. Wei and K. Roy, “Estimation of Standby Leakage Power in Fig4b: Simulation results 6TSRAM Cell using CMOS Circuits Considering Accurate stacking Technique Modeling of Transistor Stacks,” International Symposium on Low Power Electronics and Design, pp. 239- 244, August 1998. [5] Atluri.Jhansi,K.Harikishore, “Designing anad Analysis of 8Bit SRAM Cell with Low Subthreshold Leakage Power. [6] Andrei Pavlov, and Manoj Sachdev, Fig4c:Layout of 6TSRAM Cell using stacking “CMOS SARM Design and Parametric Technique Test in NAno-Scaled Technology: Process- Aware SRAM Design and Test,” Springer, Power dissipation Analysis between Basic SRAM 2008. and SRAM using leakage power reduction technique [7] R. Jacob Baker, H.W. Li, D.E. Boyce, “ CMOS Circuit Design, Layout and Simulation,” PHI 1998. VI.CONCLUSION In this paper we designed SRAM cell using leakage power reduction techniques, to reduce power dissipation. The anticipated circuits were designed in 0.18um technology and analyzed for power dissipation. Here we observed that in order to achieve low power consumption we compromised with area and delay compared to the basic 6T SRAM .based on the simulation results of SRAM, there is 40% reduction in power dissipation. Hence we conclude the proposed SRAM circuits used for low power designs and these designed techniques are used to improve the performance and can be used for low power applications. REFERENCES [1] H.P.Rajani and Shrimannarayan Kulkarni “Ultra low power 50nm SRAM for Temperature Invarient Data Retention”in CIIT 2011,CCIS 250,pp. 87-92. [2] International Technology Roadmap for Semiconductors by Semiconductor Industry Association, http://public.itrs.net, 2007. [3] M. Powell, S.-H. Yang, B. Falsafi, K. Roy and T. N. Vijay Kumar, “Gated-Vdd: A 1698 | P a g e