SlideShare una empresa de Scribd logo
1 de 4
Descargar para leer sin conexión
International Journal of Engineering Research and Development
e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com
Volume 2, Issue 10 (August 2012), PP. 01-04


         Digital Clock Frequency Multiplier Using Floating Point
                               Arithmetic
                                   Madhushankara M1, Chaithanya CVS2
                      1,2
                            Manipal Center for Information Sciences, Manipal University, Manipal, India



Abstract— A digital clock frequency multiplier using floating point arithmetic, which generates the output clock with
zero frequency error has been presented. The circuit has an unbounded multiplication factor range and low lock time. A
low power mechanism has been incorporated to ensure that the overall power consumption of the circuit is less. The
circuit has been designed in TSMC 65nm CMOS process for an input reference time of 0.01ns and has been verified with
random multiplication factor values.

Keywords— Frequency multiplier, floating point, CMOS, PLL

                                                  I.     INTRODUCTION
          Clock frequency multipliers are widely used in Integrated Circuits. Conventionally, phase locked loops (PLLs) [1,
2] are used as clock frequency multipliers to increase the frequency of an input clock signal. However, PLLs require much
time and design efforts to ensure stability. And also PLLs consume large silicon area and often require different external
components for usage, resulting in high cost. Because of high lock time of PLL, the input clock signal frequency cannot be
changed quickly. PLLs are only suited for handling input clock signals of limited frequency and duty cycle ranges, and
individual PLLs have limited multiplication ranges.
          The other method of multiplying the frequency is to convert the input frequency to an analog voltage, process the
voltage using op-amp, and then convert the processed voltage to a higher frequency using a V/F converter. At low
frequencies, the Frequency to Voltage converter cannot produce a steady voltage output. A large amount of ripple is
produced which may be easily eliminated using DC filters, but the response time of the system becomes very high which is
unacceptable.
          Extensive work has been done on building clock multipliers and different circuits have been proposed [3]. Still, the
major consideration while implementing clock multipliers will be, to improve the accuracy of the output clock frequency
value as much as possible. This issue is being addressed in this paper.
          The proposed architecture attempts to address the above mentioned issues associated with both PLLs and F/V-V/F
converters. It has a wide multiplication factor range and can generate an output clock with an accurate frequency. The
architecture is stable because of open loop characteristics [4].
          The proposed architecture can be used with an input signal of any duty cycle varying from 10-90% and with any
multiplication factor.
          The rest of paper is organized as follows: Section 2 gives the design algorithm of the digital clock frequency
multiplication. Section 3 discusses about the digital clock frequency multiplier which has been developed using the proposed
algorithm. Simulation and synthesis results for programmable digital frequency multiplier [3] and the suggested digital clock
frequency multiplier have been presented in section 4 and section 5 respectively. Last section presents the conclusion.

                                            II.        DESIGN ALGORITHM
          The existing algorithm [3] for clock frequency multiplication is based on determining the time period of input
clock signal with a high frequency. In order to determine an accurate value of the input clock signal time period, a very high
frequency clock (>1GHz) should be used, which in turn requires a high frequency clock generation circuit. If the input clock
signal and high frequency signal are from different sources, then a synchronizing circuit is required to reduce the phase
difference between the clock and high frequency signal.
          In the proposed digital clock frequency multiplier, instead of a high frequency signal, a reference time is used to
determine the time period of the input clock signal. The algorithm of the proposed frequency multiplier is as follows:
     1. Receive an Input clock signal which has to be multiplied, the multiplication factor (MF) and the reference time.
     2. With the help of reference time, determine Total time period, ON time and OFF time of an input clock signal.
     3. Convert the obtained time periods (from step 2) and Multiplication factor (MF) to the IEEE 754 standard format.
     4. By using floating point division algorithm, divide the time periods (from step 3) with the multiplication factor
          (MF) in order to determine the required Total time period, ON time period and OFF time period of output clock
          signal. Equations for the required total time period (T), ON period and OFF period of output clock signal are given
          below.
          TClock_Out = TClock_In/MF                       (1)
          TClock_Out(ON) = TClock_In(ON)/MF                (2)
          TClock_Out(OFF) = TClock_In(OFF)/MF              (3)

                                                               1
Digital Clock Frequency Multiplier Using Floating Point Arithmetic

     5.   With the help of reference time, generate an output clock signal with determined Total time period, ON time and
          OFF time (from step 4).

          By using the above algorithm, we can overcome the addition of high frequency signal generators and
synchronizing circuits to the clock frequency multiplier circuit. The digital logic which implements the above algorithm is
discussed in the following section.

                                  III.       BLOCK DIAGRAM DESCRIPTION
           Figure 1 shows the block diagram of the proposed clock frequency multiplier using floating point arithmetic. The
circuit consists of a time period determination circuit, multiplexer, a floating point division unit, de-multiplexer, an output
clock generation circuit and a controller [5].
           Inputs for the time period determination circuit are, the clock signal that has to be multiplied and the reference
time, using which the total time period, ON time and OFF time of input clock signal is determined. The time determination
circuit is a combination of adders and comparators. Once the total time, ON time and OFF time are determined, they are
converted to the IEEE 754 standard single precision format [6] and stored in the internal registers before disabling the enable
signal for the time period determination circuit




                 Figure 1: Block diagram of the Clock frequency multiplier using floating point arithmetic

           The next block after the time period determination circuit is the point divider unit [6]. The floating point divider
unit will receive the multiplication factor, convert it to the IEEE 754 standard single precision format [6] and divide the
determined time periods to generate the required total time period, ON time and OFF time.
           Instead of using 3 floating point dividers each for determined total time, ON time and OFF time respectively, a
single floating point divider is used and the determined time periods from the time period determination circuit are passed
through the divider one by one to calculate the required time period of the output signal. Controller block controls the above
process of sending each time period value at a time through the floating point divider [6]. By using the above process, the
gate count and power corresponding to the redundant divider logic can be reduced to a large extent.
           In the proposed digital clock frequency multiplier, the multiplication factor (MF) is not restricted to any specific
range as in the programmable digital frequency multiplier [3]. Also, the MF in the proposed architecture can be a floating
point value like 0.5, 2.5, 12.3 and so on.
           The output clock generator circuit receives the required total time period, ON time, and OFF time values from the
floating point divider unit [6]. In the output clock generator, the reference time is given as an input to a floating point adder
[6, 8], wherein the output is connected as one of the inputs. The output of the floating point adder [6, 8] is compared with the
required total time, ON time and OFF time values which are determined from the floating point divider unit [6].
           Initially, outputs of the output clock generator circuit and the floating point adder [6, 8] are zeros. Once the
addition process starts, output of the floating point adder [6, 8] is given to the comparator circuit. When the output of the
floating point adder is equal to the required OFF time, the output clock signal is toggled from zero to one and when the adder
output is equal to the required ON time, the output clock signal is toggled from one to zero. When the output of the floating
point adder is equal to the required total time period, its output value will be reset to zero, output clock signal will be toggled
from zero to one and the process repeats.
           The controller block generates the enable signals (tdc_en, ocg_en) and select lines (s0 and s1) for the time
determination circuit, output clock generator circuit and 4x1 multiplexers respectively. The controller is designed in such a
way that, at any instant of time, only one of the 3 sections will be enabled. Since only one unit will be working at any time
instant, the overall power consumption of the proposed architecture is less when compared to the programmable digital
frequency multiplier in [3].
              The circuit has a deterministic lock time of 8 clock cycles. If the input clock frequency changes, then the
corresponding output clock frequency will appear after 8 clock cycles of the input clock.
                                                                2
Digital Clock Frequency Multiplier Using Floating Point Arithmetic

                                       IV.        SIMULATION RESULTS
           Figures 2 and 3 show the simulated output waveforms for both, the programmable digital frequency multiplier [3]
and the proposed digital clock frequency multiplier respectively with MF=40.
           It is clear from figures 2 and 3 that when an asymmetric input signal with 25-75% duty cycle is given to the
proposed digital clock frequency multiplier, the output signal will also have the same duty cycle as that of the input. But,
when the same input signal with 25-75% duty cycle is given to the programmable digital frequency multiplier, the output
signal is still symmetric.
           Figure 4 shows the simulated output waveforms of the proposed digital clock frequency multiplier with MF=0.5
and an input frequency of 12MHz. The proposed architecture has been simulated with random Multiplication Factors and
also different input signals with random duty cycles.




         Figure 2: Simulation result of proposed frequency multiplier with asymmetric input clock with MF = 40




     Figure 3: Simulation result of programmable frequency multiplier with asymmetric input clock with MF = 40




                       Figure 4: Simulation result of proposed frequency multiplier with MF = 0.5

                                         V.        SYNTHESIS RESULTS
          The proposed circuit and the programmable digital frequency multiplier [3] have been coded using generic Verilog
HDL and are synthesized using the Synopsys Design Compiler with TSMC 65nm technology library.
          Table 1 shows the difference in the synthesis results between the programmable digital frequency multiplier [3]
and the proposed digital clock frequency multiplier.

                                 Table 1. Synthesis results of Digital frequency multipliers

                          Parameters               Programmable        Proposed    Digital     clock
                                                   Digital             frequency multiplier
                                                   frequency
                                                   multiplier

                       Area                        4310.64             5422.3
                       Dynamic power               1.548 mW            0.7 mW
                       Cell Leakage power          142.255 uW          88.34 uW
                       Lock time                   12 clock cycles     8 clock cycles

        From Table 1, it can be observed that the proposed digital clock frequency multiplier has less lock time when
compared to the programmable digital frequency multiplier [3]. While considering the area, the proposed digital clock

                                                             3
Digital Clock Frequency Multiplier Using Floating Point Arithmetic

frequency multiplier will occupy more area when compared to the programmable digital frequency multiplier [3]. The low
lock time and low power can be compromised with a smaller area.

                                                VI.        CONCLUSION
          This paper describes the design of a digital clock frequency multiplier using floating point arithmetic. The new
proposed clock frequency multiplier has the shorter lock time when compared to the programmable digital frequency
multiplier, which has been examined in this paper. The new clock frequency multiplier improves the accuracy of frequency
multiplication by using the floating point division algorithm. The clock frequency multiplier has been designed using
Verilog HDL and verified for different corner case inputs. Also, the proposed clock frequency multiplier has been
synthesized with TSMC 65nm CMOS library

                                                      REFERENCES
  [1].   B. Razavi, Design of Analog CMOS Integrated Circuits, McGraw-Hill, pp. 532.
  [2].   B. Razavi, K. F. Lee, & R. H.Yan, “Design of highspeed, low-power Frequency dividers and PLL’s in deep submicron CMOS”,
         IEEE J. Solid-state Circuits, vo1.30, no.2, Feb. 1995, pp. 101-109.
  [3].   Sanjay K. Wadhwa, Deeya Muhury, & Krishna Thakur, “Programmable digital frequency multiplier”, IEEE Computer Society,
         VLSID’07.
  [4].   Po-Hui Yang and Jinn-Shyan Wang, “Low-Voltage Pulsewidth Control Loop for SOC Application”, IEEE J. Solid-State
         Circuits, vol. 37, no.10, Oct. 2002, pp. 1348 - 1351.
  [5].   Stan, M.R., Tenca A.F., Ercegovac M.D., “Long and fast up/down counters”, IEEE Transactions on computers, Volume 47, Issue
         7, July 1998, pp. 722 – 735.
  [6].    “IEEE Standard for Binary Floating-Point Arithmetic,” ANSI/IEEE Standard 754-1985, New York, IEEE, Aug. 1985.
  [7].   M.P. Farmwald, “On the Design of high-Performance Digital Arithmetic Units,” PhD thesis, Stanford Univ., Aug. 1981.
  [8].   N.T. Quach and M.J. Flynn, “Design and Implementation of the SNAP Floating-Point Adder,” Technical Report CSL-TR-91-
         501, Stanford Univ., Dec. 1991.




                                                               4

Más contenido relacionado

La actualidad más candente

Design and Implementation of DC Motor Speed Control using Fuzzy Logic
Design and Implementation of DC Motor Speed Control using Fuzzy LogicDesign and Implementation of DC Motor Speed Control using Fuzzy Logic
Design and Implementation of DC Motor Speed Control using Fuzzy LogicWaleed El-Badry
 
Siemens synco 700 controller commissioning communication part 2
Siemens synco 700 controller commissioning communication part 2Siemens synco 700 controller commissioning communication part 2
Siemens synco 700 controller commissioning communication part 2CONTROLS & SYSTEMS
 
Pll in lpc2148
Pll in lpc2148Pll in lpc2148
Pll in lpc2148Aarav Soni
 
Radio Astronomy and electronics
Radio Astronomy and electronicsRadio Astronomy and electronics
Radio Astronomy and electronicsFlavio Falcinelli
 
Teach-In : Ladder Logic for PIC Micro
Teach-In : Ladder Logic for PIC MicroTeach-In : Ladder Logic for PIC Micro
Teach-In : Ladder Logic for PIC Microhandson28
 
Siemens PXC Controller Series Part-2
Siemens PXC Controller Series Part-2Siemens PXC Controller Series Part-2
Siemens PXC Controller Series Part-2CONTROLS & SYSTEMS
 
Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...eSAT Journals
 
NARMA-L2 Controller for Five-Area Load Frequency Control
NARMA-L2 Controller for Five-Area Load Frequency ControlNARMA-L2 Controller for Five-Area Load Frequency Control
NARMA-L2 Controller for Five-Area Load Frequency Controlijeei-iaes
 
Control unit design
Control unit designControl unit design
Control unit designDhaval Bagal
 
Design of fuzzzy pid controller for bldc motor
Design of fuzzzy pid controller for bldc motorDesign of fuzzzy pid controller for bldc motor
Design of fuzzzy pid controller for bldc motorMishal Hussain
 
Cortex M3 LPC176x NXP
Cortex M3 LPC176x NXPCortex M3 LPC176x NXP
Cortex M3 LPC176x NXPKonkuk Univ.
 
Siemens PXC Controller Series Part-1
Siemens PXC Controller Series Part-1Siemens PXC Controller Series Part-1
Siemens PXC Controller Series Part-1CONTROLS & SYSTEMS
 
Architectural Level Techniques
Architectural Level TechniquesArchitectural Level Techniques
Architectural Level TechniquesGargiKhanna1
 
Simulation power analysis low power vlsi
Simulation power analysis   low power vlsiSimulation power analysis   low power vlsi
Simulation power analysis low power vlsiGargiKhanna1
 

La actualidad más candente (20)

Ad4101172176
Ad4101172176Ad4101172176
Ad4101172176
 
89c5131datasheet
89c5131datasheet89c5131datasheet
89c5131datasheet
 
Lecture 2 timers, pwm, state machine IN PIC
Lecture 2   timers, pwm, state machine IN PIC Lecture 2   timers, pwm, state machine IN PIC
Lecture 2 timers, pwm, state machine IN PIC
 
Design and Implementation of DC Motor Speed Control using Fuzzy Logic
Design and Implementation of DC Motor Speed Control using Fuzzy LogicDesign and Implementation of DC Motor Speed Control using Fuzzy Logic
Design and Implementation of DC Motor Speed Control using Fuzzy Logic
 
Siemens synco 700 controller commissioning communication part 2
Siemens synco 700 controller commissioning communication part 2Siemens synco 700 controller commissioning communication part 2
Siemens synco 700 controller commissioning communication part 2
 
8051 Timers
8051 Timers8051 Timers
8051 Timers
 
Pll in lpc2148
Pll in lpc2148Pll in lpc2148
Pll in lpc2148
 
Radio Astronomy and electronics
Radio Astronomy and electronicsRadio Astronomy and electronics
Radio Astronomy and electronics
 
Teach-In : Ladder Logic for PIC Micro
Teach-In : Ladder Logic for PIC MicroTeach-In : Ladder Logic for PIC Micro
Teach-In : Ladder Logic for PIC Micro
 
Siemens PXC Controller Series Part-2
Siemens PXC Controller Series Part-2Siemens PXC Controller Series Part-2
Siemens PXC Controller Series Part-2
 
Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...
 
NARMA-L2 Controller for Five-Area Load Frequency Control
NARMA-L2 Controller for Five-Area Load Frequency ControlNARMA-L2 Controller for Five-Area Load Frequency Control
NARMA-L2 Controller for Five-Area Load Frequency Control
 
Control unit design
Control unit designControl unit design
Control unit design
 
Control unit
Control unitControl unit
Control unit
 
Design of fuzzzy pid controller for bldc motor
Design of fuzzzy pid controller for bldc motorDesign of fuzzzy pid controller for bldc motor
Design of fuzzzy pid controller for bldc motor
 
Cortex M3 LPC176x NXP
Cortex M3 LPC176x NXPCortex M3 LPC176x NXP
Cortex M3 LPC176x NXP
 
Event manager F28x
Event manager F28xEvent manager F28x
Event manager F28x
 
Siemens PXC Controller Series Part-1
Siemens PXC Controller Series Part-1Siemens PXC Controller Series Part-1
Siemens PXC Controller Series Part-1
 
Architectural Level Techniques
Architectural Level TechniquesArchitectural Level Techniques
Architectural Level Techniques
 
Simulation power analysis low power vlsi
Simulation power analysis   low power vlsiSimulation power analysis   low power vlsi
Simulation power analysis low power vlsi
 

Destacado

Cheap meat never makes a good soup.
Cheap meat never makes a good soup.Cheap meat never makes a good soup.
Cheap meat never makes a good soup.Rhea Myers
 
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดนสังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดนKraJeab Vickie
 
Trabajo 28 06-12 carlos anibal guzmán
Trabajo 28 06-12 carlos anibal guzmánTrabajo 28 06-12 carlos anibal guzmán
Trabajo 28 06-12 carlos anibal guzmánCANIGUZU
 
MarkkinointiviestintäsuunnitelmaKimmoKolmonen12006141
MarkkinointiviestintäsuunnitelmaKimmoKolmonen12006141MarkkinointiviestintäsuunnitelmaKimmoKolmonen12006141
MarkkinointiviestintäsuunnitelmaKimmoKolmonen12006141Kimmo Kolmonen
 

Destacado (7)

Nextel Monterrey
Nextel MonterreyNextel Monterrey
Nextel Monterrey
 
MS Certificate
MS CertificateMS Certificate
MS Certificate
 
Proje Aciklamalari
Proje AciklamalariProje Aciklamalari
Proje Aciklamalari
 
Cheap meat never makes a good soup.
Cheap meat never makes a good soup.Cheap meat never makes a good soup.
Cheap meat never makes a good soup.
 
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดนสังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
 
Trabajo 28 06-12 carlos anibal guzmán
Trabajo 28 06-12 carlos anibal guzmánTrabajo 28 06-12 carlos anibal guzmán
Trabajo 28 06-12 carlos anibal guzmán
 
MarkkinointiviestintäsuunnitelmaKimmoKolmonen12006141
MarkkinointiviestintäsuunnitelmaKimmoKolmonen12006141MarkkinointiviestintäsuunnitelmaKimmoKolmonen12006141
MarkkinointiviestintäsuunnitelmaKimmoKolmonen12006141
 

Similar a A02100104

Clock Generator
Clock Generator Clock Generator
Clock Generator Omkar Rane
 
Design and Implementation of an Interface Circuit for DC Motor Speed Control ...
Design and Implementation of an Interface Circuit for DC Motor Speed Control ...Design and Implementation of an Interface Circuit for DC Motor Speed Control ...
Design and Implementation of an Interface Circuit for DC Motor Speed Control ...Firas Mohammed Ali Al-Raie
 
A 20 gbs injection locked clock and data recovery circuit
A 20 gbs injection locked clock and data recovery circuitA 20 gbs injection locked clock and data recovery circuit
A 20 gbs injection locked clock and data recovery circuitVLSICS Design
 
Fpga implementation of power efficient all digital phase locked loop
Fpga implementation of power efficient all digital phase locked loopFpga implementation of power efficient all digital phase locked loop
Fpga implementation of power efficient all digital phase locked loopIAEME Publication
 
Adc lab
Adc labAdc lab
Adc labxyxz
 
A 20 Gb/s INJECTION-LOCKED CLOCK AND DATA RECOVERY CIRCUIT
A 20 Gb/s INJECTION-LOCKED CLOCK AND DATA RECOVERY CIRCUITA 20 Gb/s INJECTION-LOCKED CLOCK AND DATA RECOVERY CIRCUIT
A 20 Gb/s INJECTION-LOCKED CLOCK AND DATA RECOVERY CIRCUITVLSICS Design
 
Clock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsClock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsPremier Farnell
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPVLSICS Design
 
Design and Implementation of Digital PLL using Self Correcting DCO System
Design and Implementation of Digital PLL using Self Correcting DCO SystemDesign and Implementation of Digital PLL using Self Correcting DCO System
Design and Implementation of Digital PLL using Self Correcting DCO SystemIJERA Editor
 
Design and Implementation of Astable Multivibrator using 555 Timer
Design and Implementation of Astable Multivibrator using 555 Timer Design and Implementation of Astable Multivibrator using 555 Timer
Design and Implementation of Astable Multivibrator using 555 Timer IOSRJEEE
 
Design of all digital phase locked loop
Design of all digital phase locked loopDesign of all digital phase locked loop
Design of all digital phase locked loopeSAT Publishing House
 
SE PAI Unit 5_Timer Programming in 8051 microcontroller_Part 2
SE PAI Unit 5_Timer Programming in 8051 microcontroller_Part 2SE PAI Unit 5_Timer Programming in 8051 microcontroller_Part 2
SE PAI Unit 5_Timer Programming in 8051 microcontroller_Part 2KanchanPatil34
 
Gated Latch Utilization for Clock Tree Network
Gated Latch Utilization for Clock Tree NetworkGated Latch Utilization for Clock Tree Network
Gated Latch Utilization for Clock Tree Networkpaperpublications3
 
CMOS ring oscillator delay cell performance: a comparative study
CMOS ring oscillator delay cell performance: a comparative studyCMOS ring oscillator delay cell performance: a comparative study
CMOS ring oscillator delay cell performance: a comparative studyIJECEIAES
 
Programmable Logic Controller | Ladder Logic diagrams| Block diagram | I/O Mo...
Programmable Logic Controller | Ladder Logic diagrams| Block diagram | I/O Mo...Programmable Logic Controller | Ladder Logic diagrams| Block diagram | I/O Mo...
Programmable Logic Controller | Ladder Logic diagrams| Block diagram | I/O Mo...Waqas Afzal
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flopsIAEME Publication
 
Clock distribution in high speed board
Clock distribution in high speed boardClock distribution in high speed board
Clock distribution in high speed boardPankaj Khodifad
 

Similar a A02100104 (20)

Clock Generator
Clock Generator Clock Generator
Clock Generator
 
Ijetcas14 593
Ijetcas14 593Ijetcas14 593
Ijetcas14 593
 
Design and Implementation of an Interface Circuit for DC Motor Speed Control ...
Design and Implementation of an Interface Circuit for DC Motor Speed Control ...Design and Implementation of an Interface Circuit for DC Motor Speed Control ...
Design and Implementation of an Interface Circuit for DC Motor Speed Control ...
 
A 20 gbs injection locked clock and data recovery circuit
A 20 gbs injection locked clock and data recovery circuitA 20 gbs injection locked clock and data recovery circuit
A 20 gbs injection locked clock and data recovery circuit
 
Fpga implementation of power efficient all digital phase locked loop
Fpga implementation of power efficient all digital phase locked loopFpga implementation of power efficient all digital phase locked loop
Fpga implementation of power efficient all digital phase locked loop
 
Development of Compact Pulse Generator with Adjustable Pulse Width for Pulse ...
Development of Compact Pulse Generator with Adjustable Pulse Width for Pulse ...Development of Compact Pulse Generator with Adjustable Pulse Width for Pulse ...
Development of Compact Pulse Generator with Adjustable Pulse Width for Pulse ...
 
Adc lab
Adc labAdc lab
Adc lab
 
A 20 Gb/s INJECTION-LOCKED CLOCK AND DATA RECOVERY CIRCUIT
A 20 Gb/s INJECTION-LOCKED CLOCK AND DATA RECOVERY CIRCUITA 20 Gb/s INJECTION-LOCKED CLOCK AND DATA RECOVERY CIRCUIT
A 20 Gb/s INJECTION-LOCKED CLOCK AND DATA RECOVERY CIRCUIT
 
Clock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsClock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOs
 
Design and Implementation of Pulse Width Modulation Using Hardware/Software M...
Design and Implementation of Pulse Width Modulation Using Hardware/Software M...Design and Implementation of Pulse Width Modulation Using Hardware/Software M...
Design and Implementation of Pulse Width Modulation Using Hardware/Software M...
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
Design and Implementation of Digital PLL using Self Correcting DCO System
Design and Implementation of Digital PLL using Self Correcting DCO SystemDesign and Implementation of Digital PLL using Self Correcting DCO System
Design and Implementation of Digital PLL using Self Correcting DCO System
 
Design and Implementation of Astable Multivibrator using 555 Timer
Design and Implementation of Astable Multivibrator using 555 Timer Design and Implementation of Astable Multivibrator using 555 Timer
Design and Implementation of Astable Multivibrator using 555 Timer
 
Design of all digital phase locked loop
Design of all digital phase locked loopDesign of all digital phase locked loop
Design of all digital phase locked loop
 
SE PAI Unit 5_Timer Programming in 8051 microcontroller_Part 2
SE PAI Unit 5_Timer Programming in 8051 microcontroller_Part 2SE PAI Unit 5_Timer Programming in 8051 microcontroller_Part 2
SE PAI Unit 5_Timer Programming in 8051 microcontroller_Part 2
 
Gated Latch Utilization for Clock Tree Network
Gated Latch Utilization for Clock Tree NetworkGated Latch Utilization for Clock Tree Network
Gated Latch Utilization for Clock Tree Network
 
CMOS ring oscillator delay cell performance: a comparative study
CMOS ring oscillator delay cell performance: a comparative studyCMOS ring oscillator delay cell performance: a comparative study
CMOS ring oscillator delay cell performance: a comparative study
 
Programmable Logic Controller | Ladder Logic diagrams| Block diagram | I/O Mo...
Programmable Logic Controller | Ladder Logic diagrams| Block diagram | I/O Mo...Programmable Logic Controller | Ladder Logic diagrams| Block diagram | I/O Mo...
Programmable Logic Controller | Ladder Logic diagrams| Block diagram | I/O Mo...
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
 
Clock distribution in high speed board
Clock distribution in high speed boardClock distribution in high speed board
Clock distribution in high speed board
 

Más de IJERD Editor

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksIJERD Editor
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEIJERD Editor
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...IJERD Editor
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’IJERD Editor
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignIJERD Editor
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationIJERD Editor
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...IJERD Editor
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRIJERD Editor
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingIJERD Editor
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string valueIJERD Editor
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...IJERD Editor
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeIJERD Editor
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...IJERD Editor
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraIJERD Editor
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...IJERD Editor
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...IJERD Editor
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingIJERD Editor
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...IJERD Editor
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart GridIJERD Editor
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderIJERD Editor
 

Más de IJERD Editor (20)

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACE
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding Design
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive Manufacturing
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string value
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF Dxing
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart Grid
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powder
 

A02100104

  • 1. International Journal of Engineering Research and Development e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com Volume 2, Issue 10 (August 2012), PP. 01-04 Digital Clock Frequency Multiplier Using Floating Point Arithmetic Madhushankara M1, Chaithanya CVS2 1,2 Manipal Center for Information Sciences, Manipal University, Manipal, India Abstract— A digital clock frequency multiplier using floating point arithmetic, which generates the output clock with zero frequency error has been presented. The circuit has an unbounded multiplication factor range and low lock time. A low power mechanism has been incorporated to ensure that the overall power consumption of the circuit is less. The circuit has been designed in TSMC 65nm CMOS process for an input reference time of 0.01ns and has been verified with random multiplication factor values. Keywords— Frequency multiplier, floating point, CMOS, PLL I. INTRODUCTION Clock frequency multipliers are widely used in Integrated Circuits. Conventionally, phase locked loops (PLLs) [1, 2] are used as clock frequency multipliers to increase the frequency of an input clock signal. However, PLLs require much time and design efforts to ensure stability. And also PLLs consume large silicon area and often require different external components for usage, resulting in high cost. Because of high lock time of PLL, the input clock signal frequency cannot be changed quickly. PLLs are only suited for handling input clock signals of limited frequency and duty cycle ranges, and individual PLLs have limited multiplication ranges. The other method of multiplying the frequency is to convert the input frequency to an analog voltage, process the voltage using op-amp, and then convert the processed voltage to a higher frequency using a V/F converter. At low frequencies, the Frequency to Voltage converter cannot produce a steady voltage output. A large amount of ripple is produced which may be easily eliminated using DC filters, but the response time of the system becomes very high which is unacceptable. Extensive work has been done on building clock multipliers and different circuits have been proposed [3]. Still, the major consideration while implementing clock multipliers will be, to improve the accuracy of the output clock frequency value as much as possible. This issue is being addressed in this paper. The proposed architecture attempts to address the above mentioned issues associated with both PLLs and F/V-V/F converters. It has a wide multiplication factor range and can generate an output clock with an accurate frequency. The architecture is stable because of open loop characteristics [4]. The proposed architecture can be used with an input signal of any duty cycle varying from 10-90% and with any multiplication factor. The rest of paper is organized as follows: Section 2 gives the design algorithm of the digital clock frequency multiplication. Section 3 discusses about the digital clock frequency multiplier which has been developed using the proposed algorithm. Simulation and synthesis results for programmable digital frequency multiplier [3] and the suggested digital clock frequency multiplier have been presented in section 4 and section 5 respectively. Last section presents the conclusion. II. DESIGN ALGORITHM The existing algorithm [3] for clock frequency multiplication is based on determining the time period of input clock signal with a high frequency. In order to determine an accurate value of the input clock signal time period, a very high frequency clock (>1GHz) should be used, which in turn requires a high frequency clock generation circuit. If the input clock signal and high frequency signal are from different sources, then a synchronizing circuit is required to reduce the phase difference between the clock and high frequency signal. In the proposed digital clock frequency multiplier, instead of a high frequency signal, a reference time is used to determine the time period of the input clock signal. The algorithm of the proposed frequency multiplier is as follows: 1. Receive an Input clock signal which has to be multiplied, the multiplication factor (MF) and the reference time. 2. With the help of reference time, determine Total time period, ON time and OFF time of an input clock signal. 3. Convert the obtained time periods (from step 2) and Multiplication factor (MF) to the IEEE 754 standard format. 4. By using floating point division algorithm, divide the time periods (from step 3) with the multiplication factor (MF) in order to determine the required Total time period, ON time period and OFF time period of output clock signal. Equations for the required total time period (T), ON period and OFF period of output clock signal are given below. TClock_Out = TClock_In/MF (1) TClock_Out(ON) = TClock_In(ON)/MF (2) TClock_Out(OFF) = TClock_In(OFF)/MF (3) 1
  • 2. Digital Clock Frequency Multiplier Using Floating Point Arithmetic 5. With the help of reference time, generate an output clock signal with determined Total time period, ON time and OFF time (from step 4). By using the above algorithm, we can overcome the addition of high frequency signal generators and synchronizing circuits to the clock frequency multiplier circuit. The digital logic which implements the above algorithm is discussed in the following section. III. BLOCK DIAGRAM DESCRIPTION Figure 1 shows the block diagram of the proposed clock frequency multiplier using floating point arithmetic. The circuit consists of a time period determination circuit, multiplexer, a floating point division unit, de-multiplexer, an output clock generation circuit and a controller [5]. Inputs for the time period determination circuit are, the clock signal that has to be multiplied and the reference time, using which the total time period, ON time and OFF time of input clock signal is determined. The time determination circuit is a combination of adders and comparators. Once the total time, ON time and OFF time are determined, they are converted to the IEEE 754 standard single precision format [6] and stored in the internal registers before disabling the enable signal for the time period determination circuit Figure 1: Block diagram of the Clock frequency multiplier using floating point arithmetic The next block after the time period determination circuit is the point divider unit [6]. The floating point divider unit will receive the multiplication factor, convert it to the IEEE 754 standard single precision format [6] and divide the determined time periods to generate the required total time period, ON time and OFF time. Instead of using 3 floating point dividers each for determined total time, ON time and OFF time respectively, a single floating point divider is used and the determined time periods from the time period determination circuit are passed through the divider one by one to calculate the required time period of the output signal. Controller block controls the above process of sending each time period value at a time through the floating point divider [6]. By using the above process, the gate count and power corresponding to the redundant divider logic can be reduced to a large extent. In the proposed digital clock frequency multiplier, the multiplication factor (MF) is not restricted to any specific range as in the programmable digital frequency multiplier [3]. Also, the MF in the proposed architecture can be a floating point value like 0.5, 2.5, 12.3 and so on. The output clock generator circuit receives the required total time period, ON time, and OFF time values from the floating point divider unit [6]. In the output clock generator, the reference time is given as an input to a floating point adder [6, 8], wherein the output is connected as one of the inputs. The output of the floating point adder [6, 8] is compared with the required total time, ON time and OFF time values which are determined from the floating point divider unit [6]. Initially, outputs of the output clock generator circuit and the floating point adder [6, 8] are zeros. Once the addition process starts, output of the floating point adder [6, 8] is given to the comparator circuit. When the output of the floating point adder is equal to the required OFF time, the output clock signal is toggled from zero to one and when the adder output is equal to the required ON time, the output clock signal is toggled from one to zero. When the output of the floating point adder is equal to the required total time period, its output value will be reset to zero, output clock signal will be toggled from zero to one and the process repeats. The controller block generates the enable signals (tdc_en, ocg_en) and select lines (s0 and s1) for the time determination circuit, output clock generator circuit and 4x1 multiplexers respectively. The controller is designed in such a way that, at any instant of time, only one of the 3 sections will be enabled. Since only one unit will be working at any time instant, the overall power consumption of the proposed architecture is less when compared to the programmable digital frequency multiplier in [3]. The circuit has a deterministic lock time of 8 clock cycles. If the input clock frequency changes, then the corresponding output clock frequency will appear after 8 clock cycles of the input clock. 2
  • 3. Digital Clock Frequency Multiplier Using Floating Point Arithmetic IV. SIMULATION RESULTS Figures 2 and 3 show the simulated output waveforms for both, the programmable digital frequency multiplier [3] and the proposed digital clock frequency multiplier respectively with MF=40. It is clear from figures 2 and 3 that when an asymmetric input signal with 25-75% duty cycle is given to the proposed digital clock frequency multiplier, the output signal will also have the same duty cycle as that of the input. But, when the same input signal with 25-75% duty cycle is given to the programmable digital frequency multiplier, the output signal is still symmetric. Figure 4 shows the simulated output waveforms of the proposed digital clock frequency multiplier with MF=0.5 and an input frequency of 12MHz. The proposed architecture has been simulated with random Multiplication Factors and also different input signals with random duty cycles. Figure 2: Simulation result of proposed frequency multiplier with asymmetric input clock with MF = 40 Figure 3: Simulation result of programmable frequency multiplier with asymmetric input clock with MF = 40 Figure 4: Simulation result of proposed frequency multiplier with MF = 0.5 V. SYNTHESIS RESULTS The proposed circuit and the programmable digital frequency multiplier [3] have been coded using generic Verilog HDL and are synthesized using the Synopsys Design Compiler with TSMC 65nm technology library. Table 1 shows the difference in the synthesis results between the programmable digital frequency multiplier [3] and the proposed digital clock frequency multiplier. Table 1. Synthesis results of Digital frequency multipliers Parameters Programmable Proposed Digital clock Digital frequency multiplier frequency multiplier Area 4310.64 5422.3 Dynamic power 1.548 mW 0.7 mW Cell Leakage power 142.255 uW 88.34 uW Lock time 12 clock cycles 8 clock cycles From Table 1, it can be observed that the proposed digital clock frequency multiplier has less lock time when compared to the programmable digital frequency multiplier [3]. While considering the area, the proposed digital clock 3
  • 4. Digital Clock Frequency Multiplier Using Floating Point Arithmetic frequency multiplier will occupy more area when compared to the programmable digital frequency multiplier [3]. The low lock time and low power can be compromised with a smaller area. VI. CONCLUSION This paper describes the design of a digital clock frequency multiplier using floating point arithmetic. The new proposed clock frequency multiplier has the shorter lock time when compared to the programmable digital frequency multiplier, which has been examined in this paper. The new clock frequency multiplier improves the accuracy of frequency multiplication by using the floating point division algorithm. The clock frequency multiplier has been designed using Verilog HDL and verified for different corner case inputs. Also, the proposed clock frequency multiplier has been synthesized with TSMC 65nm CMOS library REFERENCES [1]. B. Razavi, Design of Analog CMOS Integrated Circuits, McGraw-Hill, pp. 532. [2]. B. Razavi, K. F. Lee, & R. H.Yan, “Design of highspeed, low-power Frequency dividers and PLL’s in deep submicron CMOS”, IEEE J. Solid-state Circuits, vo1.30, no.2, Feb. 1995, pp. 101-109. [3]. Sanjay K. Wadhwa, Deeya Muhury, & Krishna Thakur, “Programmable digital frequency multiplier”, IEEE Computer Society, VLSID’07. [4]. Po-Hui Yang and Jinn-Shyan Wang, “Low-Voltage Pulsewidth Control Loop for SOC Application”, IEEE J. Solid-State Circuits, vol. 37, no.10, Oct. 2002, pp. 1348 - 1351. [5]. Stan, M.R., Tenca A.F., Ercegovac M.D., “Long and fast up/down counters”, IEEE Transactions on computers, Volume 47, Issue 7, July 1998, pp. 722 – 735. [6]. “IEEE Standard for Binary Floating-Point Arithmetic,” ANSI/IEEE Standard 754-1985, New York, IEEE, Aug. 1985. [7]. M.P. Farmwald, “On the Design of high-Performance Digital Arithmetic Units,” PhD thesis, Stanford Univ., Aug. 1981. [8]. N.T. Quach and M.J. Flynn, “Design and Implementation of the SNAP Floating-Point Adder,” Technical Report CSL-TR-91- 501, Stanford Univ., Dec. 1991. 4