SlideShare una empresa de Scribd logo
1 de 4
Descargar para leer sin conexión
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 58
VERIFICATION OF UART IP CORE USING UVM
Supriya Kamshette 1
, Sangamesh A Gama2
, Bhemrao Patil3
1
ECE Dept, Bidar, Karnataka, India
2
Assistant Professor, CSE Dept, BKIT Bhalki, Bidar, Karnataka, India
3
Assistant Professor, CSE Dept, BKIT Bhalki, Bidar, Karnataka, India
Abstract
In the earlier era of electronics the UART (Universal asynchronous receiver/transmitter) played a major role in data transmission.
This UART IP CORE provides serial communication capabilities, which allow communication with modems or other external devices.
This core is designed to be maximally compatible with industry standard designs[4]. The key features of this design are WISHBONE
INTERFACE WITH 8-BIT OR 32-BIT selectable data bus modes. Debug interface in 32-bit data bus mode. Register level and
functional compatibility. FIFO operation. The design is verified using UVM methodology. The test bench is written with regression
test cases in order to acquire maximum functional coverage.
Keywords: UART, UVM, FIFO, WISHBONE INTERFACE
-----------------------------------------------------------------------***-----------------------------------------------------------------------
1. INTRODUCTION
The data transmission takes place in between the chips, inside
the chips and in between the systems also. As it is
asynchronous clock there will be no methodology to establish
the clock distribution techniques.
There are three of modes in UART. They are
1) HALFDUPLEX MODE: In the half duplex mode either
transmission or reception takes place at a time.
2) FULLDUPLEX MODE: In the full duplex mode both
transmission and reception takes place at time.
3) LOOP BACK MODE: It is used for testing purpose. We
will be connection the transmitter and receiver of same UART
this helps to check the accuracy of it.
2. STRUCTURE OF THE PACKET
It is 9-bit data bus mode. The start bit is a active low signal
and the stop bit is active high signal. The rest of six bits are of
data bits and a parity bit .the parallel data from CPU is
converted to serial data by UART and then transmission takes
place.
Communication between two or more UARTS is based on
asynchronous serial mode of transmission [1]. Hand shaking
between the UARTs is done using the synchronizing bits.
Each character is sent as a start bit, a configurable number of
data bits, an optional parity bit and one or more stop bits.
Fig -1: Bit Details
The architecture of UART consists of
2.1 Wishbone Interface
The wishbone interface is the standard computer bus interface
that allows communication between the integrated circuits.
The wishbone bus permits 8-bit and 32-bit data transfer.
Table -1: Wishbone Interface Signal
PORT WIDTH DIRECTION
CLK 1 INPUT
WB_RST_I 1 INPUT
WB_ADDR_I 5 or 3 INPUT
WB_SEL_I 4 INPUT
WB_DAT_I 32 or 8 INPUT
WB_WE_I 1 INPUT
WB_STB_I 1 INPUT
WB_CYC_I 1 INPUT
WB_DAT_O 32 or 8 OUTPUT
WB_ACK_O 1 OUTPUT
2.2 Interrupt Registers
This register is used to enable and identify the interrupts.
There are two types of interrupt registers. They are
a) Interrupt Enable Register
b) Interrupt Identification Register.
The interrupt enable register enables and disables with
interrupt generation by the UART. It is of 8-bit width. The
interrupt identification register enables the programmer to
retrieve the current highest priority pending interrupt. BIT-0
indicates that an interrupt is pending when its logic 0.when it
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 59
is 1 the interrupt is not in pending. The width of the register is
8-bit.
2.3 Control Registers
There are two Control registers. They are
a) FIFO control register
b) LINE control register
The FIFO control register allows selection of the FIFO trigger
level. The FIFO register is of 8-bit data width. The 0th bit
should be always 0.The line control register allows the
specification of the format of the asynchronous data
communication used.
A bit in the register also allows access to the divisor latches,
which define the baud rate. Reading from the register is
allowed to check the current setting of the communication.
2.4 Baudgenerator
The baud generator is responsible for generating a periodic
baud pulse based on the divisor latch value which determines
the baud rate for the serial transmission. This periodic pulse is
used by transmitter and receiver to generate sampling pulses
for sampling both received data and data to be transmitted.
One baud out occurs for sixteen clock cycles. For sixteen
clock cycles one bit data will be sent.
There are two debug registers they work in32-bit data bus
mode. It has 5-bit address mode. It is read only and is
provided for debugging purpose for chip testing. Each has a
256-byte FIFO to buffer data flow [3]. The use of FIFO
buffers increases the overall transmission rate by allowing
slower processors to respond, and reducing the amount of time
wasted context switching. Besides data transfer, they also
facilitate start/stop framing bits, check various parity options,
as well as detect transmission errors[7].
2.5 Divisor Latches
The divisor latches can be accessed by setting the 7th bit of
LCR to 1.Restore the bit to zero after setting the divisor
latches in order to restore access to the other registers that
occupy the same address. The two bytes form one sixteen bit
register, which is internally accessed as a single number. In
order to have normal operation two bytes are driven to zero on
reset.
The reset disables all serial I/O operations in order to ensure
explicit setup of the register in the software. The value set
should be equal to (system clock speed) /16*desired baud rate.
The internal counter starts to work when the LSB of DL is
return, so when setting the divisor, write the MSB first then
LSB last.
Fig -2: Block Diagram of UART IP Core
3. VERIFICATION METHODOLOGY
The UVM methodology test bench environment consists of
3.1 Agent Top
TB consists of two Agent top each of which consists one agent
for UART A and for UART B, both are active so it consists of
MONITOR, DRIVER, SEQUENCER, and CONFIG class.
Sequences will be outside of TB, it will be connected to
sequencer in run_phase of test case using start method. The
sequences will generates stimulus and gives to Driver via
Sequencer. This each agent is connected to DUT through
static interface in top.
3.2 Driver
Driver drives the signals which received through the
sequencer into the pins of DUT.
Declare a virtual interface in the driver to connect the driver to
the DUT. And we are using macros for printing, copying,
comparing. Virtual Interface is provided as there is a
connection between Static and dynamic components
a) Sequence Configuration
Divisor latch (MSB) reg. is programmed first and the LSB reg,
as follows:
task body();
(addr=3,data = 8'b10000011); //configure LCR 8th
bit to
access divisor latch register.
(addr=1,data); //setting divisor –MSB
(addr=0,data); //setting divisor-LSB
(addr=3, data=8'b00000011); // LCR 8th bit made zero for
accessing other register.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 60
Programming IER, FCR, LCR
(addr=1, data); // IE
(addr=2, data); // FCR
(addr=0,data) //THR
(addr=3, data); // LCR ….etc
end task
Different test cases are written to program the above registers
for different scenarios
3.3 DUV
The device that is to be verified is called DUV. The DUV is
driven with a group of inputs and the output is compared with
the reference model in the score board. The functionality is
verified using functional coverage.
3.4 Monitor
The monitor extracts the signal information from the bus and
translates it into transaction. Monitor is connected to
scoreboard via TLM interfaces Analysis ports and exports.
3.5 Virtual Sequence/Sequence
Virtual sequencer is used in the stimulus generation process to
allow a single sequence control activity via several agents. It is
not attached to any driver, does not process items itself has
reference to multiple sequencers only on virtual sequencers in
an agent environment
3.6 Config Database
It is reusable, efficient mechanism for organizing
configuration
3.7 Score Board
In Scoreboard comparing the inputs of the UART 1 monitor
with output of UART2 receiver.
a) Test cases are written in virtual test class extended from
uvm_base_test
b) In this we import the package, build the environment, and
run this environment.
c) The logic inputs are randomized in using class of
transaction which was extended from uvm_sequence_iem.
d) Different test cases have been created for verifying half
duplex and full duplex modes.
Coverage Report
1) Functional Coverage:
a) This measures how much of the design specification
has been exercised.
b) In our verification % specification has been
exercised.
2) Code Coverage
a) This measures how much of the code has been
executed.
b) In this verification 100% of the code has been
exercised.
Fig -3: Half Duplex Mode
i. Sequences & 1 scoreboard.
ii. Use 1 driver & 1 receiver.
iii. Send Parallel data into UART A, UART A convert
parallel to serial UART B conv serial to parallel
Received parallel data. Both data IN & OUT are
compared & verified.[3]
Fig -4: Full Duplex Mode
i. Uses 2 driver & monitor
ii. Send Parallel data into UART A
iii. UART B convert parallel to serial
iv. UART B convert serial to parallel
v. Received parallel data.
vi. Both data IN & OUT are compared [4]
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 61
Fig -5: Loop Back Mode
In this mode only one UART will work by same UART we
will transmit a data and also receives. By making MCR 4th bit
as high. So internally connection will happen to work in[5][6].
4. TESTING
TESTCASE 1 -- Basic Transaction with 14 data’s and no
parity and 1 stopbit, no stick and no break, 8 bits in the
character
TESTCASE 2 -- Changing the number of bits in the character
among 5,6,7,8
5. RESULTS
The design has been done in verilog and verified using UVM
test bench. Code coverage is done using QUESTAMODEL
SIM.
6. CONCLUSIONS
The UART IP core working has been verified for different
modes of operations i.e. HALF DUPLEX MODE , FULL
DUPLEX MODE and LOOP BACK MODE. Currently I’m
worked on coverage of all the functions. And also verified
corner cases and the check the functionality of registers. The
UART transmission from serial to parallel and vice-versa is
attend and has been verified.
Fig -6: Simulated Waveform
REFERENCES
[1]. I.E.Sutherland'Micropiplines'Communication ACM,
June 1989, Vol. 32(6), pp. 720 -738.
[2]. V.N. Yarmolik, Fault Diugnosis of Digital Circuits,
JohnWiley & Sons, 1990
[3]. “PCI6550DUniversal Asynchronous Receiver/
Transmitter with FIFOs”, National Semiconductor Application
Note, June 1995.
[4]. M.S.Harvey, “Generic UART Manual” Silicon Valley.
December 1999.
[5]. “PCI6550DUniversalAsynchronous Receiver/Transmitter
with FIFOs”, National Semiconductor Application Note, June
1995
[6]. Martin S. Michael, “A Comparison of the INS8250,
NS16450 and NS16550AF Series of UARTs”National
Semiconductor Application Note 493, April1989.
[7]. W.Elmenreicb, M.Delvai,TimeTriggered Communication
with UARTs. In Proceedings of the 4'h IEEE International
Workshop on Factory Communication Systems, Aug. 2002.

Más contenido relacionado

La actualidad más candente

La actualidad más candente (20)

The I2C Interface
The I2C InterfaceThe I2C Interface
The I2C Interface
 
Serial Peripheral Interface
Serial Peripheral InterfaceSerial Peripheral Interface
Serial Peripheral Interface
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
 
System verilog control flow
System verilog control flowSystem verilog control flow
System verilog control flow
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
UART Communication
UART CommunicationUART Communication
UART Communication
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
SPI Bus Protocol
SPI Bus ProtocolSPI Bus Protocol
SPI Bus Protocol
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
Axi
AxiAxi
Axi
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
Pc ie tl_layer (3)
Pc ie tl_layer (3)Pc ie tl_layer (3)
Pc ie tl_layer (3)
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomization
 
8096 microcontrollers notes
8096 microcontrollers notes8096 microcontrollers notes
8096 microcontrollers notes
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 
Ral by pushpa
Ral by pushpa Ral by pushpa
Ral by pushpa
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 
Advance Peripheral Bus
Advance Peripheral Bus Advance Peripheral Bus
Advance Peripheral Bus
 
I2C Protocol
I2C ProtocolI2C Protocol
I2C Protocol
 

Destacado

Enhancement of the processes of desiccant air
Enhancement of the processes of desiccant airEnhancement of the processes of desiccant air
Enhancement of the processes of desiccant aireSAT Publishing House
 
Analysis of stress concentration at opening in pressure vessel using anova
Analysis of stress concentration at opening in pressure vessel using anovaAnalysis of stress concentration at opening in pressure vessel using anova
Analysis of stress concentration at opening in pressure vessel using anovaeSAT Publishing House
 
Performance characteristics of a hybrid wing for uav
Performance characteristics of a hybrid wing for uavPerformance characteristics of a hybrid wing for uav
Performance characteristics of a hybrid wing for uaveSAT Publishing House
 
Low cost 50 watts inverter for fluorescent lamp using
Low cost 50 watts inverter for fluorescent lamp usingLow cost 50 watts inverter for fluorescent lamp using
Low cost 50 watts inverter for fluorescent lamp usingeSAT Publishing House
 
Performance evaluation of nano graphite inclusions in
Performance evaluation of nano graphite inclusions inPerformance evaluation of nano graphite inclusions in
Performance evaluation of nano graphite inclusions ineSAT Publishing House
 
A novel speech enhancement technique
A novel speech enhancement techniqueA novel speech enhancement technique
A novel speech enhancement techniqueeSAT Publishing House
 
A fuzzy logic controlled dc dc converter for an
A fuzzy logic controlled dc dc converter for anA fuzzy logic controlled dc dc converter for an
A fuzzy logic controlled dc dc converter for aneSAT Publishing House
 
Design of multi bit multi-phase vco-based adc
Design of multi bit multi-phase vco-based adcDesign of multi bit multi-phase vco-based adc
Design of multi bit multi-phase vco-based adceSAT Publishing House
 
Comparative study of multipath extensions of aodv
Comparative study of multipath extensions of aodvComparative study of multipath extensions of aodv
Comparative study of multipath extensions of aodveSAT Publishing House
 
Production of plaster of paris using solar energy
Production of plaster of paris using solar energyProduction of plaster of paris using solar energy
Production of plaster of paris using solar energyeSAT Publishing House
 
Usability analysis of sms alert system for immunization
Usability analysis of sms alert system for immunizationUsability analysis of sms alert system for immunization
Usability analysis of sms alert system for immunizationeSAT Publishing House
 
Slow steady motion of a thermo viscous fluid between
Slow steady motion of a thermo viscous fluid betweenSlow steady motion of a thermo viscous fluid between
Slow steady motion of a thermo viscous fluid betweeneSAT Publishing House
 
Characterization of reflectors and absorber coatings
Characterization of reflectors and absorber coatingsCharacterization of reflectors and absorber coatings
Characterization of reflectors and absorber coatingseSAT Publishing House
 
Best lookup algorithm for 100+gbps ipv6 packet
Best lookup algorithm for 100+gbps ipv6 packetBest lookup algorithm for 100+gbps ipv6 packet
Best lookup algorithm for 100+gbps ipv6 packeteSAT Publishing House
 
Emotional telugu speech signals classification based on k nn classifier
Emotional telugu speech signals classification based on k nn classifierEmotional telugu speech signals classification based on k nn classifier
Emotional telugu speech signals classification based on k nn classifiereSAT Publishing House
 
Regression model for analyzing the dgs structures propagation characterisitcs
Regression model for analyzing the dgs structures propagation characterisitcsRegression model for analyzing the dgs structures propagation characterisitcs
Regression model for analyzing the dgs structures propagation characterisitcseSAT Publishing House
 
An analysis of desktop control and information retrieval from the internet us...
An analysis of desktop control and information retrieval from the internet us...An analysis of desktop control and information retrieval from the internet us...
An analysis of desktop control and information retrieval from the internet us...eSAT Publishing House
 
Virtual private network a veritable tool for network security
Virtual private network a veritable tool for network securityVirtual private network a veritable tool for network security
Virtual private network a veritable tool for network securityeSAT Publishing House
 
Power house automation using wireless communication
Power house automation using wireless communicationPower house automation using wireless communication
Power house automation using wireless communicationeSAT Publishing House
 

Destacado (20)

Enhancement of the processes of desiccant air
Enhancement of the processes of desiccant airEnhancement of the processes of desiccant air
Enhancement of the processes of desiccant air
 
Analysis of stress concentration at opening in pressure vessel using anova
Analysis of stress concentration at opening in pressure vessel using anovaAnalysis of stress concentration at opening in pressure vessel using anova
Analysis of stress concentration at opening in pressure vessel using anova
 
Performance characteristics of a hybrid wing for uav
Performance characteristics of a hybrid wing for uavPerformance characteristics of a hybrid wing for uav
Performance characteristics of a hybrid wing for uav
 
Accessing database using nlp
Accessing database using nlpAccessing database using nlp
Accessing database using nlp
 
Low cost 50 watts inverter for fluorescent lamp using
Low cost 50 watts inverter for fluorescent lamp usingLow cost 50 watts inverter for fluorescent lamp using
Low cost 50 watts inverter for fluorescent lamp using
 
Performance evaluation of nano graphite inclusions in
Performance evaluation of nano graphite inclusions inPerformance evaluation of nano graphite inclusions in
Performance evaluation of nano graphite inclusions in
 
A novel speech enhancement technique
A novel speech enhancement techniqueA novel speech enhancement technique
A novel speech enhancement technique
 
A fuzzy logic controlled dc dc converter for an
A fuzzy logic controlled dc dc converter for anA fuzzy logic controlled dc dc converter for an
A fuzzy logic controlled dc dc converter for an
 
Design of multi bit multi-phase vco-based adc
Design of multi bit multi-phase vco-based adcDesign of multi bit multi-phase vco-based adc
Design of multi bit multi-phase vco-based adc
 
Comparative study of multipath extensions of aodv
Comparative study of multipath extensions of aodvComparative study of multipath extensions of aodv
Comparative study of multipath extensions of aodv
 
Production of plaster of paris using solar energy
Production of plaster of paris using solar energyProduction of plaster of paris using solar energy
Production of plaster of paris using solar energy
 
Usability analysis of sms alert system for immunization
Usability analysis of sms alert system for immunizationUsability analysis of sms alert system for immunization
Usability analysis of sms alert system for immunization
 
Slow steady motion of a thermo viscous fluid between
Slow steady motion of a thermo viscous fluid betweenSlow steady motion of a thermo viscous fluid between
Slow steady motion of a thermo viscous fluid between
 
Characterization of reflectors and absorber coatings
Characterization of reflectors and absorber coatingsCharacterization of reflectors and absorber coatings
Characterization of reflectors and absorber coatings
 
Best lookup algorithm for 100+gbps ipv6 packet
Best lookup algorithm for 100+gbps ipv6 packetBest lookup algorithm for 100+gbps ipv6 packet
Best lookup algorithm for 100+gbps ipv6 packet
 
Emotional telugu speech signals classification based on k nn classifier
Emotional telugu speech signals classification based on k nn classifierEmotional telugu speech signals classification based on k nn classifier
Emotional telugu speech signals classification based on k nn classifier
 
Regression model for analyzing the dgs structures propagation characterisitcs
Regression model for analyzing the dgs structures propagation characterisitcsRegression model for analyzing the dgs structures propagation characterisitcs
Regression model for analyzing the dgs structures propagation characterisitcs
 
An analysis of desktop control and information retrieval from the internet us...
An analysis of desktop control and information retrieval from the internet us...An analysis of desktop control and information retrieval from the internet us...
An analysis of desktop control and information retrieval from the internet us...
 
Virtual private network a veritable tool for network security
Virtual private network a veritable tool for network securityVirtual private network a veritable tool for network security
Virtual private network a veritable tool for network security
 
Power house automation using wireless communication
Power house automation using wireless communicationPower house automation using wireless communication
Power house automation using wireless communication
 

Similar a Verification of uart ip core using uvm

Internet enebled data acquisition and device control
Internet enebled data acquisition and device controlInternet enebled data acquisition and device control
Internet enebled data acquisition and device controleSAT Publishing House
 
Internet enebled data acquisition and device control
Internet enebled data acquisition and device controlInternet enebled data acquisition and device control
Internet enebled data acquisition and device controleSAT Journals
 
Modification of l3 learning switch code for firewall functionality in pox con...
Modification of l3 learning switch code for firewall functionality in pox con...Modification of l3 learning switch code for firewall functionality in pox con...
Modification of l3 learning switch code for firewall functionality in pox con...eSAT Journals
 
Fpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollerFpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollereSAT Publishing House
 
Master slave autonomous surveillance bot for military applications
Master slave autonomous surveillance bot for military applicationsMaster slave autonomous surveillance bot for military applications
Master slave autonomous surveillance bot for military applicationseSAT Journals
 
Design and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVMDesign and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVMIRJET Journal
 
Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...eSAT Publishing House
 
Development of Distributed Mains Monitoring and Switching System for Indus Co...
Development of Distributed Mains Monitoring and Switching System for Indus Co...Development of Distributed Mains Monitoring and Switching System for Indus Co...
Development of Distributed Mains Monitoring and Switching System for Indus Co...iosrjce
 
Serial Communication Interface with Error Detection
Serial Communication Interface with Error DetectionSerial Communication Interface with Error Detection
Serial Communication Interface with Error Detectioniosrjce
 
Wireless data transmission through uart port using arm & rf transceiver
Wireless data transmission through uart port using arm & rf transceiverWireless data transmission through uart port using arm & rf transceiver
Wireless data transmission through uart port using arm & rf transceivereSAT Publishing House
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Coreijsrd.com
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationeSAT Journals
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationeSAT Publishing House
 
Transmission and reception of data through usb using
Transmission and reception of data through usb usingTransmission and reception of data through usb using
Transmission and reception of data through usb usingeSAT Publishing House
 
COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG
COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOGCOVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG
COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOGIAEME Publication
 

Similar a Verification of uart ip core using uvm (20)

Internet enebled data acquisition and device control
Internet enebled data acquisition and device controlInternet enebled data acquisition and device control
Internet enebled data acquisition and device control
 
Internet enebled data acquisition and device control
Internet enebled data acquisition and device controlInternet enebled data acquisition and device control
Internet enebled data acquisition and device control
 
Modification of l3 learning switch code for firewall functionality in pox con...
Modification of l3 learning switch code for firewall functionality in pox con...Modification of l3 learning switch code for firewall functionality in pox con...
Modification of l3 learning switch code for firewall functionality in pox con...
 
Fpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollerFpga implementation of a functional microcontroller
Fpga implementation of a functional microcontroller
 
Master slave autonomous surveillance bot for military applications
Master slave autonomous surveillance bot for military applicationsMaster slave autonomous surveillance bot for military applications
Master slave autonomous surveillance bot for military applications
 
Design and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVMDesign and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVM
 
Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...
 
Development of Distributed Mains Monitoring and Switching System for Indus Co...
Development of Distributed Mains Monitoring and Switching System for Indus Co...Development of Distributed Mains Monitoring and Switching System for Indus Co...
Development of Distributed Mains Monitoring and Switching System for Indus Co...
 
A010610109
A010610109A010610109
A010610109
 
Serial Communication Interface with Error Detection
Serial Communication Interface with Error DetectionSerial Communication Interface with Error Detection
Serial Communication Interface with Error Detection
 
M010617376
M010617376M010617376
M010617376
 
Wireless data transmission through uart port using arm & rf transceiver
Wireless data transmission through uart port using arm & rf transceiverWireless data transmission through uart port using arm & rf transceiver
Wireless data transmission through uart port using arm & rf transceiver
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Core
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfiguration
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfiguration
 
Transmission and reception of data through usb using
Transmission and reception of data through usb usingTransmission and reception of data through usb using
Transmission and reception of data through usb using
 
Cd36479483
Cd36479483Cd36479483
Cd36479483
 
Final Report
Final ReportFinal Report
Final Report
 
COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG
COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOGCOVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG
COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG
 
D031201021027
D031201021027D031201021027
D031201021027
 

Más de eSAT Publishing House

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnameSAT Publishing House
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...eSAT Publishing House
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnameSAT Publishing House
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...eSAT Publishing House
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaeSAT Publishing House
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingeSAT Publishing House
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...eSAT Publishing House
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...eSAT Publishing House
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...eSAT Publishing House
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a revieweSAT Publishing House
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...eSAT Publishing House
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard managementeSAT Publishing House
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallseSAT Publishing House
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...eSAT Publishing House
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...eSAT Publishing House
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaeSAT Publishing House
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structureseSAT Publishing House
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingseSAT Publishing House
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...eSAT Publishing House
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...eSAT Publishing House
 

Más de eSAT Publishing House (20)

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnam
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnam
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, india
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity building
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a review
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard management
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear walls
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of india
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structures
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildings
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
 

Último

Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
Industrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptIndustrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptNarmatha D
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
US Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionUS Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionMebane Rash
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Steel Structures - Building technology.pptx
Steel Structures - Building technology.pptxSteel Structures - Building technology.pptx
Steel Structures - Building technology.pptxNikhil Raut
 
An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...Chandu841456
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfAsst.prof M.Gokilavani
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
System Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingSystem Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingBootNeck1
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Input Output Management in Operating System
Input Output Management in Operating SystemInput Output Management in Operating System
Input Output Management in Operating SystemRashmi Bhat
 
The SRE Report 2024 - Great Findings for the teams
The SRE Report 2024 - Great Findings for the teamsThe SRE Report 2024 - Great Findings for the teams
The SRE Report 2024 - Great Findings for the teamsDILIPKUMARMONDAL6
 
Solving The Right Triangles PowerPoint 2.ppt
Solving The Right Triangles PowerPoint 2.pptSolving The Right Triangles PowerPoint 2.ppt
Solving The Right Triangles PowerPoint 2.pptJasonTagapanGulla
 

Último (20)

Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
Industrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptIndustrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.ppt
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
US Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionUS Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of Action
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Steel Structures - Building technology.pptx
Steel Structures - Building technology.pptxSteel Structures - Building technology.pptx
Steel Structures - Building technology.pptx
 
An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
System Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingSystem Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event Scheduling
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Input Output Management in Operating System
Input Output Management in Operating SystemInput Output Management in Operating System
Input Output Management in Operating System
 
The SRE Report 2024 - Great Findings for the teams
The SRE Report 2024 - Great Findings for the teamsThe SRE Report 2024 - Great Findings for the teams
The SRE Report 2024 - Great Findings for the teams
 
Solving The Right Triangles PowerPoint 2.ppt
Solving The Right Triangles PowerPoint 2.pptSolving The Right Triangles PowerPoint 2.ppt
Solving The Right Triangles PowerPoint 2.ppt
 

Verification of uart ip core using uvm

  • 1. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 58 VERIFICATION OF UART IP CORE USING UVM Supriya Kamshette 1 , Sangamesh A Gama2 , Bhemrao Patil3 1 ECE Dept, Bidar, Karnataka, India 2 Assistant Professor, CSE Dept, BKIT Bhalki, Bidar, Karnataka, India 3 Assistant Professor, CSE Dept, BKIT Bhalki, Bidar, Karnataka, India Abstract In the earlier era of electronics the UART (Universal asynchronous receiver/transmitter) played a major role in data transmission. This UART IP CORE provides serial communication capabilities, which allow communication with modems or other external devices. This core is designed to be maximally compatible with industry standard designs[4]. The key features of this design are WISHBONE INTERFACE WITH 8-BIT OR 32-BIT selectable data bus modes. Debug interface in 32-bit data bus mode. Register level and functional compatibility. FIFO operation. The design is verified using UVM methodology. The test bench is written with regression test cases in order to acquire maximum functional coverage. Keywords: UART, UVM, FIFO, WISHBONE INTERFACE -----------------------------------------------------------------------***----------------------------------------------------------------------- 1. INTRODUCTION The data transmission takes place in between the chips, inside the chips and in between the systems also. As it is asynchronous clock there will be no methodology to establish the clock distribution techniques. There are three of modes in UART. They are 1) HALFDUPLEX MODE: In the half duplex mode either transmission or reception takes place at a time. 2) FULLDUPLEX MODE: In the full duplex mode both transmission and reception takes place at time. 3) LOOP BACK MODE: It is used for testing purpose. We will be connection the transmitter and receiver of same UART this helps to check the accuracy of it. 2. STRUCTURE OF THE PACKET It is 9-bit data bus mode. The start bit is a active low signal and the stop bit is active high signal. The rest of six bits are of data bits and a parity bit .the parallel data from CPU is converted to serial data by UART and then transmission takes place. Communication between two or more UARTS is based on asynchronous serial mode of transmission [1]. Hand shaking between the UARTs is done using the synchronizing bits. Each character is sent as a start bit, a configurable number of data bits, an optional parity bit and one or more stop bits. Fig -1: Bit Details The architecture of UART consists of 2.1 Wishbone Interface The wishbone interface is the standard computer bus interface that allows communication between the integrated circuits. The wishbone bus permits 8-bit and 32-bit data transfer. Table -1: Wishbone Interface Signal PORT WIDTH DIRECTION CLK 1 INPUT WB_RST_I 1 INPUT WB_ADDR_I 5 or 3 INPUT WB_SEL_I 4 INPUT WB_DAT_I 32 or 8 INPUT WB_WE_I 1 INPUT WB_STB_I 1 INPUT WB_CYC_I 1 INPUT WB_DAT_O 32 or 8 OUTPUT WB_ACK_O 1 OUTPUT 2.2 Interrupt Registers This register is used to enable and identify the interrupts. There are two types of interrupt registers. They are a) Interrupt Enable Register b) Interrupt Identification Register. The interrupt enable register enables and disables with interrupt generation by the UART. It is of 8-bit width. The interrupt identification register enables the programmer to retrieve the current highest priority pending interrupt. BIT-0 indicates that an interrupt is pending when its logic 0.when it
  • 2. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 59 is 1 the interrupt is not in pending. The width of the register is 8-bit. 2.3 Control Registers There are two Control registers. They are a) FIFO control register b) LINE control register The FIFO control register allows selection of the FIFO trigger level. The FIFO register is of 8-bit data width. The 0th bit should be always 0.The line control register allows the specification of the format of the asynchronous data communication used. A bit in the register also allows access to the divisor latches, which define the baud rate. Reading from the register is allowed to check the current setting of the communication. 2.4 Baudgenerator The baud generator is responsible for generating a periodic baud pulse based on the divisor latch value which determines the baud rate for the serial transmission. This periodic pulse is used by transmitter and receiver to generate sampling pulses for sampling both received data and data to be transmitted. One baud out occurs for sixteen clock cycles. For sixteen clock cycles one bit data will be sent. There are two debug registers they work in32-bit data bus mode. It has 5-bit address mode. It is read only and is provided for debugging purpose for chip testing. Each has a 256-byte FIFO to buffer data flow [3]. The use of FIFO buffers increases the overall transmission rate by allowing slower processors to respond, and reducing the amount of time wasted context switching. Besides data transfer, they also facilitate start/stop framing bits, check various parity options, as well as detect transmission errors[7]. 2.5 Divisor Latches The divisor latches can be accessed by setting the 7th bit of LCR to 1.Restore the bit to zero after setting the divisor latches in order to restore access to the other registers that occupy the same address. The two bytes form one sixteen bit register, which is internally accessed as a single number. In order to have normal operation two bytes are driven to zero on reset. The reset disables all serial I/O operations in order to ensure explicit setup of the register in the software. The value set should be equal to (system clock speed) /16*desired baud rate. The internal counter starts to work when the LSB of DL is return, so when setting the divisor, write the MSB first then LSB last. Fig -2: Block Diagram of UART IP Core 3. VERIFICATION METHODOLOGY The UVM methodology test bench environment consists of 3.1 Agent Top TB consists of two Agent top each of which consists one agent for UART A and for UART B, both are active so it consists of MONITOR, DRIVER, SEQUENCER, and CONFIG class. Sequences will be outside of TB, it will be connected to sequencer in run_phase of test case using start method. The sequences will generates stimulus and gives to Driver via Sequencer. This each agent is connected to DUT through static interface in top. 3.2 Driver Driver drives the signals which received through the sequencer into the pins of DUT. Declare a virtual interface in the driver to connect the driver to the DUT. And we are using macros for printing, copying, comparing. Virtual Interface is provided as there is a connection between Static and dynamic components a) Sequence Configuration Divisor latch (MSB) reg. is programmed first and the LSB reg, as follows: task body(); (addr=3,data = 8'b10000011); //configure LCR 8th bit to access divisor latch register. (addr=1,data); //setting divisor –MSB (addr=0,data); //setting divisor-LSB (addr=3, data=8'b00000011); // LCR 8th bit made zero for accessing other register.
  • 3. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 60 Programming IER, FCR, LCR (addr=1, data); // IE (addr=2, data); // FCR (addr=0,data) //THR (addr=3, data); // LCR ….etc end task Different test cases are written to program the above registers for different scenarios 3.3 DUV The device that is to be verified is called DUV. The DUV is driven with a group of inputs and the output is compared with the reference model in the score board. The functionality is verified using functional coverage. 3.4 Monitor The monitor extracts the signal information from the bus and translates it into transaction. Monitor is connected to scoreboard via TLM interfaces Analysis ports and exports. 3.5 Virtual Sequence/Sequence Virtual sequencer is used in the stimulus generation process to allow a single sequence control activity via several agents. It is not attached to any driver, does not process items itself has reference to multiple sequencers only on virtual sequencers in an agent environment 3.6 Config Database It is reusable, efficient mechanism for organizing configuration 3.7 Score Board In Scoreboard comparing the inputs of the UART 1 monitor with output of UART2 receiver. a) Test cases are written in virtual test class extended from uvm_base_test b) In this we import the package, build the environment, and run this environment. c) The logic inputs are randomized in using class of transaction which was extended from uvm_sequence_iem. d) Different test cases have been created for verifying half duplex and full duplex modes. Coverage Report 1) Functional Coverage: a) This measures how much of the design specification has been exercised. b) In our verification % specification has been exercised. 2) Code Coverage a) This measures how much of the code has been executed. b) In this verification 100% of the code has been exercised. Fig -3: Half Duplex Mode i. Sequences & 1 scoreboard. ii. Use 1 driver & 1 receiver. iii. Send Parallel data into UART A, UART A convert parallel to serial UART B conv serial to parallel Received parallel data. Both data IN & OUT are compared & verified.[3] Fig -4: Full Duplex Mode i. Uses 2 driver & monitor ii. Send Parallel data into UART A iii. UART B convert parallel to serial iv. UART B convert serial to parallel v. Received parallel data. vi. Both data IN & OUT are compared [4]
  • 4. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 61 Fig -5: Loop Back Mode In this mode only one UART will work by same UART we will transmit a data and also receives. By making MCR 4th bit as high. So internally connection will happen to work in[5][6]. 4. TESTING TESTCASE 1 -- Basic Transaction with 14 data’s and no parity and 1 stopbit, no stick and no break, 8 bits in the character TESTCASE 2 -- Changing the number of bits in the character among 5,6,7,8 5. RESULTS The design has been done in verilog and verified using UVM test bench. Code coverage is done using QUESTAMODEL SIM. 6. CONCLUSIONS The UART IP core working has been verified for different modes of operations i.e. HALF DUPLEX MODE , FULL DUPLEX MODE and LOOP BACK MODE. Currently I’m worked on coverage of all the functions. And also verified corner cases and the check the functionality of registers. The UART transmission from serial to parallel and vice-versa is attend and has been verified. Fig -6: Simulated Waveform REFERENCES [1]. I.E.Sutherland'Micropiplines'Communication ACM, June 1989, Vol. 32(6), pp. 720 -738. [2]. V.N. Yarmolik, Fault Diugnosis of Digital Circuits, JohnWiley & Sons, 1990 [3]. “PCI6550DUniversal Asynchronous Receiver/ Transmitter with FIFOs”, National Semiconductor Application Note, June 1995. [4]. M.S.Harvey, “Generic UART Manual” Silicon Valley. December 1999. [5]. “PCI6550DUniversalAsynchronous Receiver/Transmitter with FIFOs”, National Semiconductor Application Note, June 1995 [6]. Martin S. Michael, “A Comparison of the INS8250, NS16450 and NS16550AF Series of UARTs”National Semiconductor Application Note 493, April1989. [7]. W.Elmenreicb, M.Delvai,TimeTriggered Communication with UARTs. In Proceedings of the 4'h IEEE International Workshop on Factory Communication Systems, Aug. 2002.