SlideShare una empresa de Scribd logo
1 de 44
Descargar para leer sin conexión
Ideal 3D Stacked Die Test
Ira Feldman
Feldman Engineering Corp.
Overview
• Introduction
• Probe Challenge
• Contact Solutions
• Implications
• Conclusion
2
Cost of Test ≤ Cost of No Test
• Yield loss (materials & labor)
• Test development cost – test
engineering, non-recurring
engineering/expenses (NRE), etc.
• Test operational cost – operator
labor, test equipment, test
consumables (wafer probe cards,
sockets, load boards), maintenance,
floor space / facility expenses, etc.
• Test equipment / cell depreciation
• Rework cost
• Work in Progress (WIP) inventory
cost
• Over stress or intrinsic device
damage?
• Other damage (eg. probe)?
• Subassembly rework cost. If non-
reworkable, cost of subassembly.
• Test escapes – warranty or
contractual cost, customer
dissatisfaction / brand damage
• Possible infant mortality?
• Greater downstream test complexity
and cost for similar or greater
coverage?
• Loss of device characterization or
process data?
3
Test “Coverage”
Controllability
Observability
4
“Stacking 1.0”
Toshiba’s 64 GB Embedded NAND Flash Module
December 20095
6
“Wafer Probing on Fine-Pitch Micro-Bumps for 2.5D- and 3D-SICs”, Erik Jan Marinissen (IMEC), Peter Hanaway (Cascade Microtech), et. al.
“Wafer Probing on Fine-Pitch Micro-Bumps for 2.5D- and 3D-SICs”, Erik Jan Marinissen (IMEC), et. al.
8
“Bumps on the Road to 3D ICs”, E. Jan Vardaman (TechSearch International, Inc.),
RTI Technology Venture “3-D Architectures for Semiconductor Integration and Packaging”
December 13, 2012.
Overview
• Introduction
• Probe Challenge
• Contact Solutions
• Implications
• Conclusion
9
10
Xilinx Virtex-7 2000T FPGA (Oct ’11)
4 “Slices”
8 mm x 24 mm
28 nm
50 K micro-
bumps per
slice
65 nm
interposer
100 µm thick
4 metal
layers
Homogenous
2.5D
Ref: [Leibson] [Patterson]
11
Liam Madden (Xilinx). “Heterogeneous 3-D stacking, can we have the best of both
(technology) worlds” International Symposium on Physical Design, March 25, 2013.
[Stacked Silicon Interconnect Technology]
12
Microbumps
Ref: [Patterson]
Microprocessor Example
Intel Technology Journal (6/2008):
45 nm process ~50 µm tall Cu bumps
13
14
3D Hybrid Memory Cube - Micron
Justin Rattner (Intel CTO) Keynote @ IDF 2011
15
Mobile DRAM predecessor to Wide I/O
“A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM
with 4×128 I/Os Using TSV-Based Stacking” Jung-
Sik Kim, et.al., Samsung Electronics, ISSCC 2011
> 1000 micro-bumps
50 µm pitch array
Al pads (muxed) to
test with existing
probe technology
16
Electroplated Micro-Bump Bonding
• Cylindrical bumps
Side1: Cu(5 µm )
Side2: CuSn (5 µm + 3.5
µm)
• Size (today)
Diameter : 25 µm
Pitch : 40 µm
Scaling down…
Cascade Microtech & IMEC
(SWTW 2011)
17
Erik Jan Marinissen (IMEC). “Testing 3D-Stacked ICs“, RTI
Technology Venture “3-D Architectures for Semiconductor
Integration and Packaging” December 12, 2012.
18
Tezzaron
Georgia Tech: The 3D-MAPS Processors
http://www.gtcad.gatech.edu/3d-maps/
5 µm pitch
Approximate Specifications
Microprocessor Wide I/O DRAM FPGA “slice” Tezzaron
“SuperContact IV”
Die Size 149-295 mm2 24 mm x 8 mm
Bumps
- Signals
- Total
~1/3 of total 872
1,200
> 20,000
50,000 > 2 M
Pitch 90 µm 50 µm x 40 µm 40 µm 1.2 µm
Bumps /
mm2
123 < 20 (8 mm sq.)
500 core
260 694,444
Ref: [Patti]
19
By the numbers…
Two scenarios to consider:
1. Defect rate too high, therefore all units have interconnect
failures requiring rework or internal repair mechanism.
2. Defect rate 6 sigma or better, therefore unlikely to see
failures at test.
20
Overview
• Introduction
• Probe Challenge
• Contact Solutions
• Implications
• Conclusion
21
22
FormFactor: NanoPierce Contact
“Challenges and Solutions for Testing of TSV and MicroBump Devices
by Direct Connection”, Ben Eldridge, 3D Test Workshop 2011
Metal
“NanoFiber”
contact
element
23
“A Low-Force MEMS Probe Solution For Fine-Pitch 3D-SIC Wafer Test”, Matthew W. Losey, et. al.,
3D Test Workshop 2011
Advantest: MEMS Probes
100 µm
24
Cascade Microtech: Lithographically Printed
100 µm pitch
~10 gF/tip
35 µm pitch
~1 gF/tip
Scale by K
XYZ/K
Force/K2
Fully-routed 6 x 50 array at 40 x
50 µm pitch
New space transformer
technology
“Probing Strategies for Through-
Silicon Stacking”, Eric Strid, et. al,
3D Test Workshop 2011
Many Challenges
• Contact Technology
– Force & damage
• Probe Card Signal Routing
• Tester Resources
• Power Delivery
– At speed & DFT
• Weak IO Drive Current
Ref: [Smith2012]
25
26
Signal Density Pyramid
Ref: [Leibson]
Level Connections Pitch Density
Microbumps
Die to Substrate
200,000 40 µm
260 /
mm2
C4
Die to Package
24,000
180
µm
31 / mm2
BGA
Package to PCB
1,760 1 mm 1 / mm2
27
Typical Probe Card Signal Density
Level Connections Pitch Density
Tester to PCB 20,000 ~ .8 – 2.54 mm .16 – 1.6 / mm2
PCB to
Space Transformer
> 20,000 ~ .8+ mm (PTH)* 1.6 / mm2
Space Transformer to ? > 20,000 90 ~ 180 µm 31 ~ 123 / mm2
? Interposer ? 90 µm  40 µm
Probe Contactor
? to Die
50,000 40 µm 260 / mm2
Microbumps
Die to Substrate
200,000 40 µm 260 / mm2
Ref: [Feldman2011]
* 0.25 mm fan-out possible but with selective lamination / advanced fab technology to
achieve typical ATE board thickness. However, not likely for full array due to signal count.
Overview
• Introduction
• Probe Challenge
• Contact Solutions
• Implications
• Conclusion
28
I/O Scan Cell
Outside of Wafer DFT Coverage
29
Core Logic
I/O Scan Cell
TAP
Die 1 - Thinned Si Wafer
Die 2 – Thinned Si Wafer
Inter-die
connectivity (final
driver, receiver,
and interconnect)
not tested during
DFT wafer level
probe.
I/O Scan Cell
Improved DFT Coverage
30
Core Logic
I/O Scan Cell
TAP
Die 1 - Thinned Si Wafer
Die 2 – Thinned Si Wafer
Add “feedback”
structures to
boundary scan cell to
check drivers and
receivers at wafer
level to increase
coverage.
Not Covered at DFT
wafer level test
Signal Probing @ Wafer Coverage
31
Core Logic
I/O Scan Cell
TAP
Die 1 - Thinned Si Wafer
Due to weak drive current on I/O drivers options include:
1. Add “non-mission mode” high current transistor to drive signal to ATE
2. Add amplification to probe card as close to contact as possible
3. Use drive into load as “signature”
Microbump Probe Avoidance?
Examples:
• Wide I/O like DRAM
– No BIST
– Test compression & added test pads
• Si Interposer
– Optical inspection
– Indirect test
Yield loss due to microbump damage concerns?
32
33 Ref: [Fleeman]
34
“Known Good Die/Device”
“Not Known Bad Device”
Overview
• Introduction
• Probe Challenge
• Contact Solutions
• Implications
• Conclusion
35
It Depends…
36
3D Stacked Die Test
• Is Not
– Universal tool to use everywhere in die flow
– Possible for all design technology
– Done “after design release”
– Inexpensive
• Is May Be
– Needed based upon yield
– Cost effective
37
X
Test Engineers & Managers
• Need to engage early for DFx – die & system
– DFT coverage
– Special drive and scan cells?
– Repair / rework mechanisms
– Test strategies
• Become experts in cost models
– Failure modes estimated
– ROI analysis on all test activities
– Continuous process monitoring & improvements
38
“In God we trust; all
others must bring
data.”
(incorrectly?) attributed to:
W. Edwards Deming & Robert W. Hayden
39
http://en.wikipedia.org/wiki/W._Edwards_Deming
Acknowledgments
• Colleagues from the International Technology
Roadmap for Semiconductors (ITRS)
• Advantest
• Cascade Microtech
• FormFactor
• Teradyne
40
References #1
• Ben Eldridge (FormFactor). “Challenges and Solutions for Testing of TSV and
MicroBump Devices by Direct Connection”, IEEE 3D Test Workshop 2011.
• Ira Feldman (Feldman Engineering Corp). “Probe Card Cost Drivers from Architecture
to Zero Defects”, IEEE Semiconductor Wafer Test Workshop (SWTW) June 2011.
• Gary Fleeman (Advantest). “Getting to Known Good Stack”, Silicon Valley Test
Workshop, October 2012.
• JEDEC Standard “Wide I/O Single Data Rate” JESD229, December 2011.
• Jung-Sik Kim, et.al., (Samsung Electronics) “A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O
DRAM with 4×128 I/Os Using TSV-Based Stacking”, ISSCC 2011.
• Steve Leibson. http://low-powerdesign.com/sleibson/2011/10/25/generation-
jumping-2-5d-xilinx-virtex-7-2000t-fpga-delivers-1954560-logic-cells-consumes-only-
20w/
• Doug Lefever (Advantest) “Through Silicon Via Testing Known Good Die (KGD) or
Probably Good Die (PGD)”, SEMATECH/ISMI Symposium Japan, September 15-17,
2009.
41
References #2
• Matthew W. Losey (Touchdown Technologies/Advantest), et. al. “A Low-Force MEMS
Probe Solution For Fine-Pitch 3D-SIC Wafer Test”, IEEE 3D Test Workshop 2011.
• Liam Madden (Xilinx). “Heterogeneous 3-D stacking, can we have the best of both
(technology) worlds” International Symposium on Physical Design, March 25, 2013.
• Erik Jan Marinissen (IMEC), Peter Hanaway (Cascade Microtech), et. al. “Wafer
Probing on Fine-Pitch Micro-Bumps for 2.5D- and 3D-SICs”. IEEE Semiconductor Wafer
Test Workshop (SWTW) June 2011.
• Erik Jan Marinissen (IMEC). “Testing 3D-Stacked ICs“, RTI Technology Venture “3-D
Architectures for Semiconductor Integration and Packaging” December 12, 2012.
• Kenneth P. Parker, Editor. “3D-IC Defect Investigation. Provisional Report of the IEEE
P1838 Defect Tiger Team”. July 5, 2012.
• Deborah S. Patterson (Amkor Technology). “2.5/3D Packaging Enablement through
Copper Pillar Technology”, Chip Scale Review, May 2012.
• Bob Patti (Tezzaron). “Implementing 2.5-D and 3-D Devices” RTI Technology Venture
“3-D Architectures for Semiconductor Integration and Packaging” December 13, 2012.
42
References #3
• Ken Smith, Peter Hanaway, et. al. (Cascade Microtech), “3D-TSV Test Options and
Process Compatibility”, IMAPS Device Packaging Conference, March 10, 2011.
• Ken Smith, Daniel Bock, et. al. (Cascade Microtech), Erik Jan Marinissen (IMEC). “Test
Strategies for Wide IO Memory, 3D-TSV Technology Test Vehicles and Ultra Fine
Pitch”, IEEE 3D Test Workshop 2012.
• Eric Strid (Cascade Microtech), et. al, “Probing Strategies for Through-Silicon
Stacking”, IEEE 3D Test Workshop 2011.
• Mottaqiallah Taouli, Said Hamdioui (TU Deflt), Erik Jan Marinissen (IMEC), Sudipta
Bhawmik (QUALCOMM). “3D-COSTAR: A Cost Model for 3D-SICs” RTI Technology
Venture “3-D Architectures for Semiconductor Integration and Packaging” December
14, 2012.
• E. Jan Vardaman (TechSearch International, Inc.). “Bumps on the Road to 3D ICs” RTI
Technology Venture “3-D Architectures for Semiconductor Integration and Packaging”
December 13, 2012.
• Onnik Yaglioglu, Ben Eldridge (FormFactor). “Direct Connection and Testing of TSV
and Microbump Devices using NanoPierce Contactor for 3D-IC Integration”, 2012 IEEE
30th VLSI Test Symposium (VTS), April 23-26, 2012.
43
Thank You!
Ira Feldman
ira@feldmanengineering.com
Please visit my blog
www.hightechbizdev.com
44

Más contenido relacionado

La actualidad más candente

Pcb design guidelines
Pcb design guidelinesPcb design guidelines
Pcb design guidelineseddyboadu
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Negative Capacitance FET
Negative Capacitance FETNegative Capacitance FET
Negative Capacitance FETHardik Patel
 
DSPIC33F: High Performance 16-bit Digital Signal Controllers
DSPIC33F: High Performance 16-bit Digital Signal ControllersDSPIC33F: High Performance 16-bit Digital Signal Controllers
DSPIC33F: High Performance 16-bit Digital Signal ControllersPremier Farnell
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08Obsidian Software
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
Types of PCB Designing Layers
Types of PCB Designing LayersTypes of PCB Designing Layers
Types of PCB Designing LayersSharan kumar
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical designsasikun
 
Multi Layer Printed Circuit Board Introduction and Manufacturing process
Multi Layer Printed Circuit  Board Introduction and Manufacturing processMulti Layer Printed Circuit  Board Introduction and Manufacturing process
Multi Layer Printed Circuit Board Introduction and Manufacturing processAditya Deshpande
 
io and pad ring.pdf
io and pad ring.pdfio and pad ring.pdf
io and pad ring.pdfquandao25
 
3D V-Cache
3D V-Cache 3D V-Cache
3D V-Cache AMD
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFETManishKenchi
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
PCB Fabrication Process by Sierra Assembly
PCB Fabrication Process by Sierra Assembly PCB Fabrication Process by Sierra Assembly
PCB Fabrication Process by Sierra Assembly Sierra Assembly
 

La actualidad más candente (20)

Pcb design guidelines
Pcb design guidelinesPcb design guidelines
Pcb design guidelines
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Negative Capacitance FET
Negative Capacitance FETNegative Capacitance FET
Negative Capacitance FET
 
DSPIC33F: High Performance 16-bit Digital Signal Controllers
DSPIC33F: High Performance 16-bit Digital Signal ControllersDSPIC33F: High Performance 16-bit Digital Signal Controllers
DSPIC33F: High Performance 16-bit Digital Signal Controllers
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
Types of PCB Designing Layers
Types of PCB Designing LayersTypes of PCB Designing Layers
Types of PCB Designing Layers
 
finfet tsmc.pdf
finfet tsmc.pdffinfet tsmc.pdf
finfet tsmc.pdf
 
PCB Layout Fundamentals
PCB Layout FundamentalsPCB Layout Fundamentals
PCB Layout Fundamentals
 
Semiconductor Industry Tutorial
Semiconductor Industry TutorialSemiconductor Industry Tutorial
Semiconductor Industry Tutorial
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
Multi Layer Printed Circuit Board Introduction and Manufacturing process
Multi Layer Printed Circuit  Board Introduction and Manufacturing processMulti Layer Printed Circuit  Board Introduction and Manufacturing process
Multi Layer Printed Circuit Board Introduction and Manufacturing process
 
io and pad ring.pdf
io and pad ring.pdfio and pad ring.pdf
io and pad ring.pdf
 
How To Design PCB
How To Design PCBHow To Design PCB
How To Design PCB
 
3D V-Cache
3D V-Cache 3D V-Cache
3D V-Cache
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFET
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
PCB Fabrication Process by Sierra Assembly
PCB Fabrication Process by Sierra Assembly PCB Fabrication Process by Sierra Assembly
PCB Fabrication Process by Sierra Assembly
 

Similar a Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013

System on Chip
System on ChipSystem on Chip
System on ChipSwamy T N
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overviewNabil Chouba
 
BlueHat v17 || Extracting Secrets from Silicon – A New Generation of Bug Hunt...
BlueHat v17 || Extracting Secrets from Silicon – A New Generation of Bug Hunt...BlueHat v17 || Extracting Secrets from Silicon – A New Generation of Bug Hunt...
BlueHat v17 || Extracting Secrets from Silicon – A New Generation of Bug Hunt...BlueHat Security Conference
 
EE 330 Lect 3 Spring 2022.pdf
EE 330 Lect 3 Spring 2022.pdfEE 330 Lect 3 Spring 2022.pdf
EE 330 Lect 3 Spring 2022.pdfPatriciaTutuani1
 
The World of Probe Card Manufacturers' Precision at The Heart of Semiconducto...
The World of Probe Card Manufacturers' Precision at The Heart of Semiconducto...The World of Probe Card Manufacturers' Precision at The Heart of Semiconducto...
The World of Probe Card Manufacturers' Precision at The Heart of Semiconducto...Semi Probes Inc
 
Electronic circuit design and component selection.pptx
Electronic circuit design and component selection.pptxElectronic circuit design and component selection.pptx
Electronic circuit design and component selection.pptxmaheshmp16
 
Navigating The Nanoworld The Intricacies Of Probe Card Manufacturing
Navigating The Nanoworld The Intricacies Of Probe Card ManufacturingNavigating The Nanoworld The Intricacies Of Probe Card Manufacturing
Navigating The Nanoworld The Intricacies Of Probe Card ManufacturingSemi Probes Inc
 
Reliability Modeling of Electronics for Co-designed Systems
Reliability Modeling of Electronics for Co-designed SystemsReliability Modeling of Electronics for Co-designed Systems
Reliability Modeling of Electronics for Co-designed SystemsGreg Caswell
 
Tsmc us recruitment fresh final copy
Tsmc us recruitment fresh final copyTsmc us recruitment fresh final copy
Tsmc us recruitment fresh final copyUiuc Tsa
 
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...Ira Feldman
 
Physics of Failure Electronics Reliability Assurance Software
Physics of Failure Electronics Reliability Assurance SoftwarePhysics of Failure Electronics Reliability Assurance Software
Physics of Failure Electronics Reliability Assurance SoftwareCheryl Tulkoff
 
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...Cheryl Tulkoff
 
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...Cheryl Tulkoff
 
Probe Card Manufacturing Revolutionizing Semiconductor Testing.
Probe Card Manufacturing Revolutionizing Semiconductor Testing.Probe Card Manufacturing Revolutionizing Semiconductor Testing.
Probe Card Manufacturing Revolutionizing Semiconductor Testing.Semi Probes Inc
 
3D Circuits-Wireless-Save Cost & Assembly
3D Circuits-Wireless-Save Cost & Assembly 3D Circuits-Wireless-Save Cost & Assembly
3D Circuits-Wireless-Save Cost & Assembly sourcepointassociates
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...iosrjce
 

Similar a Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013 (20)

System on Chip
System on ChipSystem on Chip
System on Chip
 
Design concepts in Microelectronics
Design concepts in MicroelectronicsDesign concepts in Microelectronics
Design concepts in Microelectronics
 
Abraham q3 2008
Abraham q3 2008Abraham q3 2008
Abraham q3 2008
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
BlueHat v17 || Extracting Secrets from Silicon – A New Generation of Bug Hunt...
BlueHat v17 || Extracting Secrets from Silicon – A New Generation of Bug Hunt...BlueHat v17 || Extracting Secrets from Silicon – A New Generation of Bug Hunt...
BlueHat v17 || Extracting Secrets from Silicon – A New Generation of Bug Hunt...
 
EE 330 Lect 3 Spring 2022.pdf
EE 330 Lect 3 Spring 2022.pdfEE 330 Lect 3 Spring 2022.pdf
EE 330 Lect 3 Spring 2022.pdf
 
The World of Probe Card Manufacturers' Precision at The Heart of Semiconducto...
The World of Probe Card Manufacturers' Precision at The Heart of Semiconducto...The World of Probe Card Manufacturers' Precision at The Heart of Semiconducto...
The World of Probe Card Manufacturers' Precision at The Heart of Semiconducto...
 
Electronic circuit design and component selection.pptx
Electronic circuit design and component selection.pptxElectronic circuit design and component selection.pptx
Electronic circuit design and component selection.pptx
 
Brochure
BrochureBrochure
Brochure
 
Navigating The Nanoworld The Intricacies Of Probe Card Manufacturing
Navigating The Nanoworld The Intricacies Of Probe Card ManufacturingNavigating The Nanoworld The Intricacies Of Probe Card Manufacturing
Navigating The Nanoworld The Intricacies Of Probe Card Manufacturing
 
Reliability Modeling of Electronics for Co-designed Systems
Reliability Modeling of Electronics for Co-designed SystemsReliability Modeling of Electronics for Co-designed Systems
Reliability Modeling of Electronics for Co-designed Systems
 
Tsmc us recruitment fresh final copy
Tsmc us recruitment fresh final copyTsmc us recruitment fresh final copy
Tsmc us recruitment fresh final copy
 
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
 
Physics of Failure Electronics Reliability Assurance Software
Physics of Failure Electronics Reliability Assurance SoftwarePhysics of Failure Electronics Reliability Assurance Software
Physics of Failure Electronics Reliability Assurance Software
 
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
Improved Efficiency & Reliability for Data Center Servers Using Immersion Oil...
 
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
ETC 2013 Improved Efficiency & Reliability for Data Center Servers Using Imme...
 
Probe Card Manufacturing Revolutionizing Semiconductor Testing.
Probe Card Manufacturing Revolutionizing Semiconductor Testing.Probe Card Manufacturing Revolutionizing Semiconductor Testing.
Probe Card Manufacturing Revolutionizing Semiconductor Testing.
 
3D Circuits-Wireless-Save Cost & Assembly
3D Circuits-Wireless-Save Cost & Assembly 3D Circuits-Wireless-Save Cost & Assembly
3D Circuits-Wireless-Save Cost & Assembly
 
H010613642
H010613642H010613642
H010613642
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 

Más de Ira Feldman

IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
IEEE SWTW 2011 Probe Card Cost Drivers - Ira FeldmanIEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
IEEE SWTW 2011 Probe Card Cost Drivers - Ira FeldmanIra Feldman
 
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621Ira Feldman
 
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2Ira Feldman
 
Ira Feldman Resume 120603
Ira Feldman Resume 120603Ira Feldman Resume 120603
Ira Feldman Resume 120603Ira Feldman
 
Silicon Valley Test Workshop - 2.5D-3D What - Ira Feldman 111111
Silicon Valley Test Workshop  - 2.5D-3D What - Ira Feldman 111111Silicon Valley Test Workshop  - 2.5D-3D What - Ira Feldman 111111
Silicon Valley Test Workshop - 2.5D-3D What - Ira Feldman 111111Ira Feldman
 
Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Ira Feldman
 
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615bIEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615bIra Feldman
 

Más de Ira Feldman (7)

IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
IEEE SWTW 2011 Probe Card Cost Drivers - Ira FeldmanIEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
 
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
 
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
 
Ira Feldman Resume 120603
Ira Feldman Resume 120603Ira Feldman Resume 120603
Ira Feldman Resume 120603
 
Silicon Valley Test Workshop - 2.5D-3D What - Ira Feldman 111111
Silicon Valley Test Workshop  - 2.5D-3D What - Ira Feldman 111111Silicon Valley Test Workshop  - 2.5D-3D What - Ira Feldman 111111
Silicon Valley Test Workshop - 2.5D-3D What - Ira Feldman 111111
 
Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020
 
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615bIEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
 

Último

2024 April Patch Tuesday
2024 April Patch Tuesday2024 April Patch Tuesday
2024 April Patch TuesdayIvanti
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
UiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPathCommunity
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity PlanDatabarracks
 
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...AliaaTarek5
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024Lonnie McRorey
 
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxLoriGlavin3
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxLoriGlavin3
 
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...panagenda
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...Scott Andery
 
Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfLoriGlavin3
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfpanagenda
 
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesAssure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesThousandEyes
 
Scale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterScale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterMydbops
 
Sample pptx for embedding into website for demo
Sample pptx for embedding into website for demoSample pptx for embedding into website for demo
Sample pptx for embedding into website for demoHarshalMandlekar2
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteDianaGray10
 
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Mark Goldstein
 

Último (20)

2024 April Patch Tuesday
2024 April Patch Tuesday2024 April Patch Tuesday
2024 April Patch Tuesday
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
UiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to Hero
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity Plan
 
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024
 
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptx
 
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
 
Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdf
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
 
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesAssure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
 
Scale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterScale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL Router
 
Sample pptx for embedding into website for demo
Sample pptx for embedding into website for demoSample pptx for embedding into website for demo
Sample pptx for embedding into website for demo
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test Suite
 
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
 

Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013

  • 1. Ideal 3D Stacked Die Test Ira Feldman Feldman Engineering Corp.
  • 2. Overview • Introduction • Probe Challenge • Contact Solutions • Implications • Conclusion 2
  • 3. Cost of Test ≤ Cost of No Test • Yield loss (materials & labor) • Test development cost – test engineering, non-recurring engineering/expenses (NRE), etc. • Test operational cost – operator labor, test equipment, test consumables (wafer probe cards, sockets, load boards), maintenance, floor space / facility expenses, etc. • Test equipment / cell depreciation • Rework cost • Work in Progress (WIP) inventory cost • Over stress or intrinsic device damage? • Other damage (eg. probe)? • Subassembly rework cost. If non- reworkable, cost of subassembly. • Test escapes – warranty or contractual cost, customer dissatisfaction / brand damage • Possible infant mortality? • Greater downstream test complexity and cost for similar or greater coverage? • Loss of device characterization or process data? 3
  • 5. “Stacking 1.0” Toshiba’s 64 GB Embedded NAND Flash Module December 20095
  • 6. 6 “Wafer Probing on Fine-Pitch Micro-Bumps for 2.5D- and 3D-SICs”, Erik Jan Marinissen (IMEC), Peter Hanaway (Cascade Microtech), et. al.
  • 7. “Wafer Probing on Fine-Pitch Micro-Bumps for 2.5D- and 3D-SICs”, Erik Jan Marinissen (IMEC), et. al.
  • 8. 8 “Bumps on the Road to 3D ICs”, E. Jan Vardaman (TechSearch International, Inc.), RTI Technology Venture “3-D Architectures for Semiconductor Integration and Packaging” December 13, 2012.
  • 9. Overview • Introduction • Probe Challenge • Contact Solutions • Implications • Conclusion 9
  • 10. 10 Xilinx Virtex-7 2000T FPGA (Oct ’11) 4 “Slices” 8 mm x 24 mm 28 nm 50 K micro- bumps per slice 65 nm interposer 100 µm thick 4 metal layers Homogenous 2.5D Ref: [Leibson] [Patterson]
  • 11. 11 Liam Madden (Xilinx). “Heterogeneous 3-D stacking, can we have the best of both (technology) worlds” International Symposium on Physical Design, March 25, 2013. [Stacked Silicon Interconnect Technology]
  • 13. Microprocessor Example Intel Technology Journal (6/2008): 45 nm process ~50 µm tall Cu bumps 13
  • 14. 14 3D Hybrid Memory Cube - Micron Justin Rattner (Intel CTO) Keynote @ IDF 2011
  • 15. 15 Mobile DRAM predecessor to Wide I/O “A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4×128 I/Os Using TSV-Based Stacking” Jung- Sik Kim, et.al., Samsung Electronics, ISSCC 2011 > 1000 micro-bumps 50 µm pitch array Al pads (muxed) to test with existing probe technology
  • 16. 16 Electroplated Micro-Bump Bonding • Cylindrical bumps Side1: Cu(5 µm ) Side2: CuSn (5 µm + 3.5 µm) • Size (today) Diameter : 25 µm Pitch : 40 µm Scaling down… Cascade Microtech & IMEC (SWTW 2011)
  • 17. 17 Erik Jan Marinissen (IMEC). “Testing 3D-Stacked ICs“, RTI Technology Venture “3-D Architectures for Semiconductor Integration and Packaging” December 12, 2012.
  • 18. 18 Tezzaron Georgia Tech: The 3D-MAPS Processors http://www.gtcad.gatech.edu/3d-maps/ 5 µm pitch
  • 19. Approximate Specifications Microprocessor Wide I/O DRAM FPGA “slice” Tezzaron “SuperContact IV” Die Size 149-295 mm2 24 mm x 8 mm Bumps - Signals - Total ~1/3 of total 872 1,200 > 20,000 50,000 > 2 M Pitch 90 µm 50 µm x 40 µm 40 µm 1.2 µm Bumps / mm2 123 < 20 (8 mm sq.) 500 core 260 694,444 Ref: [Patti] 19
  • 20. By the numbers… Two scenarios to consider: 1. Defect rate too high, therefore all units have interconnect failures requiring rework or internal repair mechanism. 2. Defect rate 6 sigma or better, therefore unlikely to see failures at test. 20
  • 21. Overview • Introduction • Probe Challenge • Contact Solutions • Implications • Conclusion 21
  • 22. 22 FormFactor: NanoPierce Contact “Challenges and Solutions for Testing of TSV and MicroBump Devices by Direct Connection”, Ben Eldridge, 3D Test Workshop 2011 Metal “NanoFiber” contact element
  • 23. 23 “A Low-Force MEMS Probe Solution For Fine-Pitch 3D-SIC Wafer Test”, Matthew W. Losey, et. al., 3D Test Workshop 2011 Advantest: MEMS Probes 100 µm
  • 24. 24 Cascade Microtech: Lithographically Printed 100 µm pitch ~10 gF/tip 35 µm pitch ~1 gF/tip Scale by K XYZ/K Force/K2 Fully-routed 6 x 50 array at 40 x 50 µm pitch New space transformer technology “Probing Strategies for Through- Silicon Stacking”, Eric Strid, et. al, 3D Test Workshop 2011
  • 25. Many Challenges • Contact Technology – Force & damage • Probe Card Signal Routing • Tester Resources • Power Delivery – At speed & DFT • Weak IO Drive Current Ref: [Smith2012] 25
  • 26. 26 Signal Density Pyramid Ref: [Leibson] Level Connections Pitch Density Microbumps Die to Substrate 200,000 40 µm 260 / mm2 C4 Die to Package 24,000 180 µm 31 / mm2 BGA Package to PCB 1,760 1 mm 1 / mm2
  • 27. 27 Typical Probe Card Signal Density Level Connections Pitch Density Tester to PCB 20,000 ~ .8 – 2.54 mm .16 – 1.6 / mm2 PCB to Space Transformer > 20,000 ~ .8+ mm (PTH)* 1.6 / mm2 Space Transformer to ? > 20,000 90 ~ 180 µm 31 ~ 123 / mm2 ? Interposer ? 90 µm  40 µm Probe Contactor ? to Die 50,000 40 µm 260 / mm2 Microbumps Die to Substrate 200,000 40 µm 260 / mm2 Ref: [Feldman2011] * 0.25 mm fan-out possible but with selective lamination / advanced fab technology to achieve typical ATE board thickness. However, not likely for full array due to signal count.
  • 28. Overview • Introduction • Probe Challenge • Contact Solutions • Implications • Conclusion 28
  • 29. I/O Scan Cell Outside of Wafer DFT Coverage 29 Core Logic I/O Scan Cell TAP Die 1 - Thinned Si Wafer Die 2 – Thinned Si Wafer Inter-die connectivity (final driver, receiver, and interconnect) not tested during DFT wafer level probe.
  • 30. I/O Scan Cell Improved DFT Coverage 30 Core Logic I/O Scan Cell TAP Die 1 - Thinned Si Wafer Die 2 – Thinned Si Wafer Add “feedback” structures to boundary scan cell to check drivers and receivers at wafer level to increase coverage. Not Covered at DFT wafer level test
  • 31. Signal Probing @ Wafer Coverage 31 Core Logic I/O Scan Cell TAP Die 1 - Thinned Si Wafer Due to weak drive current on I/O drivers options include: 1. Add “non-mission mode” high current transistor to drive signal to ATE 2. Add amplification to probe card as close to contact as possible 3. Use drive into load as “signature”
  • 32. Microbump Probe Avoidance? Examples: • Wide I/O like DRAM – No BIST – Test compression & added test pads • Si Interposer – Optical inspection – Indirect test Yield loss due to microbump damage concerns? 32
  • 35. Overview • Introduction • Probe Challenge • Contact Solutions • Implications • Conclusion 35
  • 37. 3D Stacked Die Test • Is Not – Universal tool to use everywhere in die flow – Possible for all design technology – Done “after design release” – Inexpensive • Is May Be – Needed based upon yield – Cost effective 37 X
  • 38. Test Engineers & Managers • Need to engage early for DFx – die & system – DFT coverage – Special drive and scan cells? – Repair / rework mechanisms – Test strategies • Become experts in cost models – Failure modes estimated – ROI analysis on all test activities – Continuous process monitoring & improvements 38
  • 39. “In God we trust; all others must bring data.” (incorrectly?) attributed to: W. Edwards Deming & Robert W. Hayden 39 http://en.wikipedia.org/wiki/W._Edwards_Deming
  • 40. Acknowledgments • Colleagues from the International Technology Roadmap for Semiconductors (ITRS) • Advantest • Cascade Microtech • FormFactor • Teradyne 40
  • 41. References #1 • Ben Eldridge (FormFactor). “Challenges and Solutions for Testing of TSV and MicroBump Devices by Direct Connection”, IEEE 3D Test Workshop 2011. • Ira Feldman (Feldman Engineering Corp). “Probe Card Cost Drivers from Architecture to Zero Defects”, IEEE Semiconductor Wafer Test Workshop (SWTW) June 2011. • Gary Fleeman (Advantest). “Getting to Known Good Stack”, Silicon Valley Test Workshop, October 2012. • JEDEC Standard “Wide I/O Single Data Rate” JESD229, December 2011. • Jung-Sik Kim, et.al., (Samsung Electronics) “A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4×128 I/Os Using TSV-Based Stacking”, ISSCC 2011. • Steve Leibson. http://low-powerdesign.com/sleibson/2011/10/25/generation- jumping-2-5d-xilinx-virtex-7-2000t-fpga-delivers-1954560-logic-cells-consumes-only- 20w/ • Doug Lefever (Advantest) “Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD)”, SEMATECH/ISMI Symposium Japan, September 15-17, 2009. 41
  • 42. References #2 • Matthew W. Losey (Touchdown Technologies/Advantest), et. al. “A Low-Force MEMS Probe Solution For Fine-Pitch 3D-SIC Wafer Test”, IEEE 3D Test Workshop 2011. • Liam Madden (Xilinx). “Heterogeneous 3-D stacking, can we have the best of both (technology) worlds” International Symposium on Physical Design, March 25, 2013. • Erik Jan Marinissen (IMEC), Peter Hanaway (Cascade Microtech), et. al. “Wafer Probing on Fine-Pitch Micro-Bumps for 2.5D- and 3D-SICs”. IEEE Semiconductor Wafer Test Workshop (SWTW) June 2011. • Erik Jan Marinissen (IMEC). “Testing 3D-Stacked ICs“, RTI Technology Venture “3-D Architectures for Semiconductor Integration and Packaging” December 12, 2012. • Kenneth P. Parker, Editor. “3D-IC Defect Investigation. Provisional Report of the IEEE P1838 Defect Tiger Team”. July 5, 2012. • Deborah S. Patterson (Amkor Technology). “2.5/3D Packaging Enablement through Copper Pillar Technology”, Chip Scale Review, May 2012. • Bob Patti (Tezzaron). “Implementing 2.5-D and 3-D Devices” RTI Technology Venture “3-D Architectures for Semiconductor Integration and Packaging” December 13, 2012. 42
  • 43. References #3 • Ken Smith, Peter Hanaway, et. al. (Cascade Microtech), “3D-TSV Test Options and Process Compatibility”, IMAPS Device Packaging Conference, March 10, 2011. • Ken Smith, Daniel Bock, et. al. (Cascade Microtech), Erik Jan Marinissen (IMEC). “Test Strategies for Wide IO Memory, 3D-TSV Technology Test Vehicles and Ultra Fine Pitch”, IEEE 3D Test Workshop 2012. • Eric Strid (Cascade Microtech), et. al, “Probing Strategies for Through-Silicon Stacking”, IEEE 3D Test Workshop 2011. • Mottaqiallah Taouli, Said Hamdioui (TU Deflt), Erik Jan Marinissen (IMEC), Sudipta Bhawmik (QUALCOMM). “3D-COSTAR: A Cost Model for 3D-SICs” RTI Technology Venture “3-D Architectures for Semiconductor Integration and Packaging” December 14, 2012. • E. Jan Vardaman (TechSearch International, Inc.). “Bumps on the Road to 3D ICs” RTI Technology Venture “3-D Architectures for Semiconductor Integration and Packaging” December 13, 2012. • Onnik Yaglioglu, Ben Eldridge (FormFactor). “Direct Connection and Testing of TSV and Microbump Devices using NanoPierce Contactor for 3D-IC Integration”, 2012 IEEE 30th VLSI Test Symposium (VTS), April 23-26, 2012. 43
  • 44. Thank You! Ira Feldman ira@feldmanengineering.com Please visit my blog www.hightechbizdev.com 44