SlideShare una empresa de Scribd logo
1 de 19
Descargar para leer sin conexión
file://Zeus/class$/ee466/public_html/tutorial/layout.html



                   CADENCE LAYOUT
                      TUTORIAL
Creating Layout of an inverter from a Schematic:
Open the existing Schematic




                                                   Page 1
file://Zeus/class$/ee466/public_html/tutorial/layout.html




From the schematic editor window
Tools >Design Synthesis >Layout XL

A window for startup Options comes up



                                                  Page 2
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Select the button corresponding to the Create New text as shown

A Create New File window comes up. The Cell Name corresponds to the schematic name, leave it that
way.
The field corresponding to the View Name label should read Layout.
Click OK and see the layout window come up.




                                                    Page 3
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 4
file://Zeus/class$/ee466/public_html/tutorial/layout.html




From the layout window menu select:
Create >pick from Schematic and the window below comes up




Highlight/Select the entire circuit from the schematic window and move the mouse onto the layout
window. The layout components of your circuit show on the layout window. Place them with a click of
the mouse. If the layers do not show; simultaneously press the SHIFT key and the letter F and the layers
will show. Now connect the Poly layers using the drawing tool. This is achieved by selecting from the
LSW window the P0 drawing layer and drawing a rectangle that joins the nMOS and pMOS gates (red
layer on each transistor}.

Connect the drains using the M1 drawing layer selected from the LSW window. Draw the ground and
vdd nets. They will be of 0.36microns wide. See the picture below for dimensions. Use the hot key "i" to
insert the NTAP_J instance on the vdd net, making sure the contact is directly on the net. Insert the
PTAP_J instance on the gnd net.

VERY IMPORTANT
                                                    Page 5
file://Zeus/class$/ee466/public_html/tutorial/layout.html
VERY IMPORTANT
Labels must use the pin layers instead of the text. See diagram below. To view the properties and
connectivity of the pin: select the pin and click the middle mouse button to select properties. The same
should be done with the text box.




Make sure the pin and the text are of the corresponding layer e.g if the pin is a P0 pin make sure it is
placed on P0 layer and the text must be of P0 material.




                                                     Page 6
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 7
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 8
file://Zeus/class$/ee466/public_html/tutorial/layout.html




The layout is now complete and needs to be checked for design rule violations. On the layout window
click on the Calibre menu item:
Caliber >Run DRC




                                                   Page 9
file://Zeus/class$/ee466/public_html/tutorial/layout.html




We will use the default selection. Click OK on the window above.




                                                  Page 10
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Click on Run DRC and two more windows will show up. The one that shows first does not contain
information of interest. The second one is key.

The window below shows results of a layout that has an error. Watch the comments at the bottom of the
window, they give the specifics on what the error is.




                                                  Page 11
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                                                                                                           a
Create Library form appears, fill it as

Right Click on top of the lettering highlighted in blue on the window above and watch the layout window
closely. The area that has the error gets highlighted. Sometimes the color used to highlight is the same as
the color of the material making it difficult to see where the error is. If you click on the numbers 01 or 02,
you will see on the right hand column of this window the coordinates and you can thus click on these
coordinates and watch the response on the layout window.

Correct the error and run DRC again to see if an more violations exist. The DRC Window below shows
results of an error free layout, one in which no design rules have been violated.




                                                     Page 12
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Once we have succeeded with DRC we need to compare the layout vs the schematic using LVS. Click
on the Caliber menu item:
Caliber >Run LVS

The window below shows a failed LVS. Clicking on the lettering highlighted in blue shows what the
problem is.




                                                  Page 13
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Fix the errors and re-run LVS, Note the green faces indicating success.




                                                   Page 14
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Now that DRC and LVS have passed close these windows and on the Layout window click on the Tools
menu item:
Tools >Post-Layout Simulation >Schematic With Skipped Cells.

The Window below comes up.
                                                 Page 15
file://Zeus/class$/ee466/public_html/tutorial/layout.html
The Window below comes up.




                                               Page 16
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                        Page 17
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Select the Extraction Button and then Click on Run PLS

Watch the icfb window. If the extraction is completed successfully you will see the text in the figure
below otherwise a message that reads: "pls:PLS Failed" will be displayed and you will have to determine
the problem and fix it. If your design had not passed LVS you will get a Warning Message that states that
the Schematic and the Layout are not compatible. You can proceed with the subsequent steps even
though LVS failed.




Now you have extracted schematic and layout views of your layout with all the parasitics. The library
manager quits automatically at this point (should not happen but ....). Close the schematic and layout
editing windows.

Open the library manager and select your library.




                                                    Page 18
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Notice the additional files that have been created (PLSextracted and PLSsch_RCMAX_RCc), open the
PLSsch_RCMAX_RCc fil). It contains the schematic of your transistors as extracted from the layout with
all the paracitics (capacitances and resistances). From this schematic window select the Tools menu item
as shown in the figure below:
Tools >Analog Environment




                                                   Page 19

Más contenido relacionado

Destacado

Destacado (7)

Design of two stage OPAMP
Design of two stage OPAMPDesign of two stage OPAMP
Design of two stage OPAMP
 
Operational Amplifier Design
Operational Amplifier DesignOperational Amplifier Design
Operational Amplifier Design
 
Single Stage Differential Folded Cascode Amplifier
Single Stage Differential Folded Cascode AmplifierSingle Stage Differential Folded Cascode Amplifier
Single Stage Differential Folded Cascode Amplifier
 
Two stage op amp design on cadence
Two stage op amp design on cadenceTwo stage op amp design on cadence
Two stage op amp design on cadence
 
Two stage folded cascode op amp design in Cadence
Two stage folded cascode op amp design in CadenceTwo stage folded cascode op amp design in Cadence
Two stage folded cascode op amp design in Cadence
 
Design and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiersDesign and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiers
 
Gain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyGain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technology
 

Similar a Cadence layout Tutorial

DevHelper Installation and User Documentation
DevHelper Installation and User DocumentationDevHelper Installation and User Documentation
DevHelper Installation and User Documentation
Patrick O'Conor
 
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source SoftwareConsuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
Joanne Cook
 
Installing 12c R1 database on oracle linux
Installing 12c R1 database on oracle linuxInstalling 12c R1 database on oracle linux
Installing 12c R1 database on oracle linux
Anar Godjaev
 

Similar a Cadence layout Tutorial (20)

InstallationGuide.pdf
InstallationGuide.pdfInstallationGuide.pdf
InstallationGuide.pdf
 
Creating a dot netnuke
Creating a dot netnukeCreating a dot netnuke
Creating a dot netnuke
 
Wdlxtut
WdlxtutWdlxtut
Wdlxtut
 
Hacks
HacksHacks
Hacks
 
DevHelper Installation and User Documentation
DevHelper Installation and User DocumentationDevHelper Installation and User Documentation
DevHelper Installation and User Documentation
 
Getting started with code composer studio v3.3 for tms320 f2812
Getting started with code composer studio v3.3 for tms320 f2812Getting started with code composer studio v3.3 for tms320 f2812
Getting started with code composer studio v3.3 for tms320 f2812
 
codeblocks-instructions.pdf
codeblocks-instructions.pdfcodeblocks-instructions.pdf
codeblocks-instructions.pdf
 
install k+dcan cable standard tools 2.12 on windows 10 64bit
install k+dcan cable standard tools 2.12 on windows 10 64bitinstall k+dcan cable standard tools 2.12 on windows 10 64bit
install k+dcan cable standard tools 2.12 on windows 10 64bit
 
First steps with Scilab
First steps with ScilabFirst steps with Scilab
First steps with Scilab
 
Dsplab v1
Dsplab v1Dsplab v1
Dsplab v1
 
Get ntdll fixed
Get ntdll fixedGet ntdll fixed
Get ntdll fixed
 
PCB Design - Printed Circuit Board - VLSI Designing
PCB Design - Printed Circuit Board - VLSI DesigningPCB Design - Printed Circuit Board - VLSI Designing
PCB Design - Printed Circuit Board - VLSI Designing
 
Ankit Phadia Hacking tools
Ankit Phadia Hacking toolsAnkit Phadia Hacking tools
Ankit Phadia Hacking tools
 
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source SoftwareConsuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
 
How to work with code blocks
How to work with code blocksHow to work with code blocks
How to work with code blocks
 
Vb%20 tutorial
Vb%20 tutorialVb%20 tutorial
Vb%20 tutorial
 
Advance Computer Architecture
Advance Computer ArchitectureAdvance Computer Architecture
Advance Computer Architecture
 
How to install Java and how to set the path
How to install Java and how to set the pathHow to install Java and how to set the path
How to install Java and how to set the path
 
Installing 12c R1 database on oracle linux
Installing 12c R1 database on oracle linuxInstalling 12c R1 database on oracle linux
Installing 12c R1 database on oracle linux
 
Configuration of the Warnings Next Generation plugin for integration with PVS...
Configuration of the Warnings Next Generation plugin for integration with PVS...Configuration of the Warnings Next Generation plugin for integration with PVS...
Configuration of the Warnings Next Generation plugin for integration with PVS...
 

Último

Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
ZurliaSoop
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
KarakKing
 

Último (20)

Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
 
How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentation
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptxExploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
 

Cadence layout Tutorial