SlideShare una empresa de Scribd logo
1 de 82
Descargar para leer sin conexión
1
Circuits Logiques
‫المنطقية‬ ‫الدارات‬
Taha Zerrouki
Taha.zerrouki@gmail.com
Module: Architecture des ordinateurs
1ère
MI S2
2
Circuits de Base
3
Inverseur (NON)
4
Conjonction ET (AND)
5
Disjonction (OU) (OR)
6
Circuits combinés
7
7.3 NOR ( NON OU )
8
Non-OU (NAND)
9
7.2 NAND ( NON ET )
10
NON-ET (Nand)
11
OU exclusif (XOR)
12
OU exclusif (XOR)
13
Exercice 1 : Donner l’équation de F ?
Les circuits combinatoires
15
Objectifs
• Apprendre la structure de quelques circuits
combinatoires souvent utilisés ( demi additionneur ,
additionneur complet,……..).
• Apprendre comment utiliser des circuits combinatoires
pour concevoir d’autres circuits plus complexes.
Les circuits combinatoires
16
Les Circuits combinatoires
• Un circuit combinatoire est un circuit numérique dont les
sorties dépendent uniquement des entrées.
• Si=F(Ei)
• Si=F(E1,E2,….,En)
Circuit
combinatoire
E1
E2
..
En
S1
S2
..
Sm
• C’est possible d’utiliser des circuits combinatoires pour
réaliser d’autres circuits plus complexes.
Schéma Bloc
17
Exemple de Circuits combinatoires
1. Multiplexeur
2. Demultiplexeur
3. Encodeur
4. Décodeur
5. Transcodeur
6. Demi Additionneur
7. Additionneur complet
8. Comparateur
18
2. Demi Additionneur
• Le demi additionneur est un circuit combinatoire qui permet de
réaliser la somme arithmétique de deux nombres A et B chacun sur
un bit.
• A la sotie on va avoir la somme S et la retenu R ( Carry).
DA
A
B
S
R
Pour trouver la structure ( le schéma ) de ce circuit on doit en
premier dresser sa table de vérité
19
• En binaire l’addition sur un
seul bit se fait de la manière
suivante:
A B R S
0 0 0 0
0 1 0 1
1 0 0 1
•La table de vérité associée:
De la table de vérité on trouve:
20
21
3. L’additionneur complet
• En binaire lorsque on fait une addition il faut
tenir en compte de la retenue entrante.
r4 r3 r2 r1 r0= 0
+
a4 a3 a2 a1
b4 b3 b2 b1
ri-1
ai
+ bi
22
3.1 Additionneur complet 1 bit
• L’additionneur complet un bit possède 3 entrées :
– ai : le premier nombre sur un bit.
– bi : le deuxième nombre sur un bit.
– ri-1 : le retenue entrante sur un bit.
• Il possède deux sorties :
– Si : la somme
– Ri la retenue sortante
Additionneur
complet
ai
bi
ri-1
Si
Ri
23
ai bi ri-1 ri si
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1
Table de vérité d’un additionneur
complet sur 1 bit
24
3.3 Schéma d’un additionneur complet
25
3.4 Additionneur sur 4 bits
• Un additionneur sur 4 bits est un circuit qui permet de faire l’addition
de deux nombres A et B de 4 bits chacun
– A(a3a2a1a0)
– B(b3b2b1b0)
En plus il tient en compte de la retenu entrante
• En sortie on va avoir le résultat sur 4 bits ainsi que la retenu ( 5 bits
en sortie )
• Donc au total le circuit possède 9 entrées et 5 sorties.
• Avec 9 entrées on a 29
=512 combinaisons !!!!!! Comment faire pour
représenter la table de vérité ?????
• Il faut trouver une solution plus facile et plus efficace pour concevoir
ce circuit ?
26
•Lorsque on fait l’addition en binaire , on additionne bit par bit en
commençant à partir du poids fiable et à chaque fois on propage la
retenue sortante au bit du rang supérieur.
L’addition sur un bit peut se faire par un additionneur complet sur 1 bits.
r3 r2 r1 r0= 0
+
a4 a3 a2 a1
b4 b3 b2 b1
r4 s4 r3 s3 r2 s2 r1 s1
r4 s4 s3 s2 s1 Résultat final
27
3.4.1 Additionneur 4 bits ( schéma )
28
Exercice
• Soit une information binaire sur 5 bits ( i4i3i2i1i0). Donner
le circuit qui permet de calculer le nombre de 1 dans
l’information en entrée en utilisant uniquement des
additionneurs complets sur 1 bit ?
• Exemple :
Si on a en entrée l’information ( i4i3i2i1i0) =( 10110) alors en
sortie on obtient la valeur 3 en binaire ( 011) puisque il
existe 3 bits qui sont à 1 dans l’information en entrée .
Multiplexage
29
Question?
• Quel est l’unité de mesure de la mémoire?
30
Question?
• Quel est l’unité de mesure de débit?
31
Question?
• Comment transmettre un octet par bits?
32
0
0
0
1
0
0
1
1
0
0
0
1
0
0
1
1
Multiplexage
33
0
1
0
0
1
1
0
0
Multiplexage Démultiplexage
34
0
1
0
0
1
1
0
0
35
Le Multiplexeur
• Un multiplexeur est un circuit combinatoire qui permet de
sélectionner une information (1 bit) parmi 2n
valeurs en
entrée.
• Il possède :
– 2n
entrées d’information
– Une seule sortie
– N entrées de sélection ( commandes)
Em ......... E3 E1 E0
C0
C1 Mux 2n
1 V
Cn-1
S
36
Multiplexeur 2 1
V C0 S
0 X 0
1 0 E0
1 1 E1
E1 E0
C0
Mux 2 1
S
V
MultiPlexeur 4 1
37
1
0
01
MultiPlexeur 4 1
38
1
0
10
39
Multiplexeur 4 1
C1 C0 S
0 0 E0
0 1 E1
1 0 E2
1 1 E3
E3 E2 E1 E0
C0
C1 Mux 4 1
S
Exercice
• Donner la table de vérité d’un multiplexeur
81
• Donner le schéma bloc
Exercice 2
• À l'aide d'un multiplexeur
• Réaliser le circuit qui determine si un
nombre en 0 et 7 est premier
• Donner le schéma bloc
43
Demultiplexeurs
• Il joue le rôle inverse d’un multiplexeurs, il permet de
faire passer une information dans l’une des sorties selon
les valeurs des entrées de commandes.
• Il possède :
– une seule entrée
– 2n
sorties
– N entrées de sélection ( commandes)
C0 DeMux 1 4
C1
S3 S2 S1 S0
I
DéMultiPlexeur 1 4
4401
45
6.1 Demultiplexeur 14
C1 C0 S3 S2 S1 S0
0 0 0 0 0 i
0 1 0 0 i 0
1 0 0 i 0 0
1 1 i 0 0 0 C0 DeMux 1 4
C1
S3 S2 S1 S0
I
Exercice
• Donner la table de vérité d’un d
démultiplexeur 18
• Donner le schéma bloc
Transcodage
47
Transcodage
• Les circuits combinatoires de transcodage
• (appelés aussi convertisseurs de code).
48
transcodeur
E1
E2
..
En
S1
S2
..
Sm
Code 2 Code 2
Transcodage
• CODEUR
– 2n
entrées
– n sorties
• DECODEUR
– n entrées
– 2n
sorties dont une seule est validée à la fois
• TRANSCODEUR
– p entrées
– k sorties. 49
50
Le décodeur binaire
• C’est un circuit combinatoire qui est constitué de :
– N : entrées de données
– 2n
sorties
– Pour chaque combinaison en entrée une seule sortie
est active à la fois
Un décodeur 38
S0
S1
S2
S3
S4
S5
S6
S7
A
B
C
V
Décodeur 2 4
51
Décodeur 2 4
52
53
Décodeur 24
V A B S0 S1 S2 S3
0 X X 0 0 0 0
1 0 0 1 0 0 0
1 0 1 0 1 0 0
1 1 0 0 0 1 0
1 1 1 0 0 0 1
S0
S1
S2
S3
A
B
V
Exercice
• Donner la table de vérité d’un décodeur
416
• Donner le schéma bloc
55
Décodeur 38
A B C S0 S1 S2 S3 S4 S5 S6 S7
0 0 0 1 0 0 0 0 0 0 0
0 0 1 0 1 0 0 0 0 0 0
0 1 0 0 0 1 0 0 0 0 0
0 1 1 0 0 0 1 0 0 0 0
1 0 0 0 0 0 0 1 0 0 0
1 0 1 0 0 0 0 0 1 0 0
1 1 0 0 0 0 0 0 0 1 0
1 1 1 0 0 0 0 0 0 0 1
S0
S1
S2
S3
S4
S5
S6
S7
A
B
C
V
56
8. L’encodeur binaire
• Il joue le rôle inverse d’un décodeur
– Il possède 2n
entrées
– N sortie
– Pour chaque combinaison en entrée on va avoir sont
numéro ( en binaire) à la sortie.
I0
I1
I2
I3
x
y
Encodeur 42
L’encodeur binaire ( 42)
I0
I1
I2
I3
x
y
1
0
0
I0
L’encodeur binaire ( 42)
I0
I1
I2
I3
x
y
1 0
1
I1
L’encodeur binaire ( 42)
I0
I1
I2
I3
x
y
1
1
0I2
L’encodeur binaire ( 42)
I0
I1
I2
I3
x
y
1
1
1
I3
Exemple d’application
Exemple d’application
I0
I1
I2
I3
1
0
0
1
Encodeur 164
Exemple d’application
I0
I1
I2
I3
1
0
1
1
Encodeur 164
Exemple d’application
I0
I1
I2
I3
0
1
0
1
Encodeur 164
L’encodeur binaire ( 42)
I0 I1 I2 I3 x y
0 0 0 0 0 0
1 x x x 0 0
0 1 x x 0 1
0 0 1 x 1 0
0 0 0 1 1 1
I0
I1
I2
I3
x
y
Exercice
• Donner la table de vérité
• d’un encodeur 164
• Donner le schéma bloc
Transcodeurs
9. Le transcodeur
• C’est un circuit combinatoire qui permet de transformer
un code X ( sur n bits) en entrée en un code Y ( sur m
bits) en sortie.
transcodeur
E1
E2
..
En
S1
S2
..
Sm
transcodeur
transcodeur
BCD/EXESS3
0
1
1
0
1
0
0
1
• Décimal BCD
• BCD  décimal
• XS 3  décimal
• Gray  excédant 3
• DCB  afficheur 7 segments
• binaire 5 bits  DCB
• DCB  binaire 5 bits
Exercice
• Donner la table de vérité
• Transcodeur BCD /Exces 3
• Donner le schéma bloc
Exemple : Transcodeur BCD/EXESS3
A B C D X Y Z T
0 0 0 0 0 0 1 1
0 0 0 1 0 1 0 0
0 0 1 0 0 1 0 1
0 0 1 1 0 1 1 0
0 1 0 0 0 1 1 1
0 1 0 1 1 0 0 0
0 1 1 0 1 0 0 1
0 1 1 1 1 0 1 0
1 0 0 0 1 0 1 1
1 0 0 1 1 1 0 0
1 0 1 0 x x x x
1 0 1 1 x x x x
1 1 0 0 x x x x
Comparateur
73
74
4.2 Comparateur 2 bits
• Il permet de faire la comparaison entre deux nombres A
(a2a1) et B(b2b1) chacun sur deux bits.
Comparateur
2bits
A1
A2
B1
B2
fi
fe
fs
75
A2 A1 B2 B1 fs f
e
fi
0 0 0 0 0 1 0
0 0 0 1 0 0 1
0 0 1 0 0 0 1
0 0 1 1 0 0 1
0 1 0 0 1 0 0
0 1 0 1 0 1 0
0 1 1 0 0 0 1
0 1 1 1 0 0 1
1 0 0 0 1 0 0
1 0 0 1 1 0 0
1 0 1 0 0 1 0
1 0 1 1 0 0 1
1.A=B si
A2=B2 et A1=B1
2.A>B si
A2 > B2 ou (A2=B2 et A1>B1)
3.A<B si
A2 < B2 ou (A2=B2 et A1<B1)
76
4.2.2 comparateur 2 bits avec des comparateurs 1 bit
•C’est possible de réaliser un comparateur 2 bits en utilisant des
comparateurs 1 bit et des portes logiques.
•Il faut utiliser un comparateur pour comparer les bits du poids faible
et un autre pour comparer les bits du poids fort.
•Il faut combiner entre les sorties des deux comparateurs utilisés
pour réaliser les sorties du comparateur final.
Comparateur 1 bit
fs1 fe1 fi1
a1 b1
Comparateur 1 bit
fs2 fe2 fi2
a2 b2
77
1.A=B si
A2=B2 et A1=B1
2.A>B si
A2 > B2 ou (A2=B2 et A1>B1)
3.A<B si
A2 < B2 ou (A2=B2 et A1<B1)
78
79
4.2.3 Comparateur avec des entrées de
mise en cascade
• On remarque que :
– Si A2 >B2 alors A > B
– Si A2<B2 alors A < B
• Par contre si A2=B2 alors il faut tenir en compte du
résultat de la comparaison des bits du poids faible.
• Pour cela on rajoute au comparateur des entrées qui
nous indiquent le résultat de la comparaison précédente.
• Ces entrées sont appelées des entrées de mise en
cascade.
80
Comp
fs fe fi
A2 B2
Es( >)
Eg( =)
Ei( <)
A2 B2 Es Eg Ei f
s
fe fs
A2>B2 X X X 1 0 0
A2<B2 X X X 0 0 1
A2=B1
1 0 0 1 0 0
0 1 0 0 1 0
0 0 1 0 0 1
fs= (A2>B2) ou (A2=B2).Es
fi= ( A2<B2) ou (A2=B2).Ei
fe=(A2=B2).Eg
81
82
Exercice
• Réaliser un comparateur 4 bits en utilisant
des comparateurs 2 bits avec des entrées
de mise en cascade?

Más contenido relacionado

La actualidad más candente

Circuits logiques combinatoire
Circuits logiques combinatoireCircuits logiques combinatoire
Circuits logiques combinatoirelinuxscout
 
Fstm deust mip-e141_cee_chap_v_les filtres passifs
Fstm deust mip-e141_cee_chap_v_les filtres passifsFstm deust mip-e141_cee_chap_v_les filtres passifs
Fstm deust mip-e141_cee_chap_v_les filtres passifsabdennaceur_baghdad
 
Chapitre ii mémoires
Chapitre ii mémoiresChapitre ii mémoires
Chapitre ii mémoiresSana Aroussi
 
Cours d'électronique
Cours d'électroniqueCours d'électronique
Cours d'électroniqueRaja Birje
 
Tp n6 les compteurs
Tp n6 les compteursTp n6 les compteurs
Tp n6 les compteursHatem Jebali
 
Exercices vhdl
Exercices vhdlExercices vhdl
Exercices vhdlyassinesmz
 
Exercices corriges en electricite triphase
Exercices corriges en electricite triphaseExercices corriges en electricite triphase
Exercices corriges en electricite triphasemorin moli
 
TP Compteurs - logique combinatoire
TP Compteurs - logique combinatoire TP Compteurs - logique combinatoire
TP Compteurs - logique combinatoire bilal001
 
Télécharger Exercices corrigés sur le gradateur triphasé
Télécharger Exercices corrigés sur le gradateur triphaséTélécharger Exercices corrigés sur le gradateur triphasé
Télécharger Exercices corrigés sur le gradateur triphasémorin moli
 
Amplification Bipolaire
Amplification BipolaireAmplification Bipolaire
Amplification Bipolaireinali123
 
Cours electronique puissance
Cours electronique puissanceCours electronique puissance
Cours electronique puissanceJoseph Elhou
 
Rapport de stage d'initiation 2015 Mahmoudi Mohamed Amine
Rapport de stage d'initiation 2015 Mahmoudi Mohamed AmineRapport de stage d'initiation 2015 Mahmoudi Mohamed Amine
Rapport de stage d'initiation 2015 Mahmoudi Mohamed AmineMohamed Amine Mahmoudi
 

La actualidad más candente (20)

3cmoscours
3cmoscours3cmoscours
3cmoscours
 
Circuits logiques combinatoire
Circuits logiques combinatoireCircuits logiques combinatoire
Circuits logiques combinatoire
 
Fstm deust mip-e141_cee_chap_v_les filtres passifs
Fstm deust mip-e141_cee_chap_v_les filtres passifsFstm deust mip-e141_cee_chap_v_les filtres passifs
Fstm deust mip-e141_cee_chap_v_les filtres passifs
 
Chapitre ii mémoires
Chapitre ii mémoiresChapitre ii mémoires
Chapitre ii mémoires
 
Electronique analogique
Electronique analogiqueElectronique analogique
Electronique analogique
 
Cours d'électronique
Cours d'électroniqueCours d'électronique
Cours d'électronique
 
Tp n6 les compteurs
Tp n6 les compteursTp n6 les compteurs
Tp n6 les compteurs
 
Exercices vhdl
Exercices vhdlExercices vhdl
Exercices vhdl
 
Exercices corriges en electricite triphase
Exercices corriges en electricite triphaseExercices corriges en electricite triphase
Exercices corriges en electricite triphase
 
CODAGE.pptx
CODAGE.pptxCODAGE.pptx
CODAGE.pptx
 
TP Compteurs - logique combinatoire
TP Compteurs - logique combinatoire TP Compteurs - logique combinatoire
TP Compteurs - logique combinatoire
 
Ener1 - CM1 - Monophasé
Ener1 - CM1 - MonophaséEner1 - CM1 - Monophasé
Ener1 - CM1 - Monophasé
 
Télécharger Exercices corrigés sur le gradateur triphasé
Télécharger Exercices corrigés sur le gradateur triphaséTélécharger Exercices corrigés sur le gradateur triphasé
Télécharger Exercices corrigés sur le gradateur triphasé
 
Transistors
TransistorsTransistors
Transistors
 
Cours.langage c
Cours.langage cCours.langage c
Cours.langage c
 
Amplification Bipolaire
Amplification BipolaireAmplification Bipolaire
Amplification Bipolaire
 
Cours electronique puissance
Cours electronique puissanceCours electronique puissance
Cours electronique puissance
 
Ch6 pal fpla
Ch6 pal fplaCh6 pal fpla
Ch6 pal fpla
 
Tp 1 2_3_4
Tp 1 2_3_4Tp 1 2_3_4
Tp 1 2_3_4
 
Rapport de stage d'initiation 2015 Mahmoudi Mohamed Amine
Rapport de stage d'initiation 2015 Mahmoudi Mohamed AmineRapport de stage d'initiation 2015 Mahmoudi Mohamed Amine
Rapport de stage d'initiation 2015 Mahmoudi Mohamed Amine
 

Similar a Ch1 circuits logiques_p1_combinatoire-v4

Ch1 circuits logiques_p1_combinatoire-v3
Ch1 circuits logiques_p1_combinatoire-v3Ch1 circuits logiques_p1_combinatoire-v3
Ch1 circuits logiques_p1_combinatoire-v3linuxscout
 
ch4_circuitscombinatoires.ppt
ch4_circuitscombinatoires.pptch4_circuitscombinatoires.ppt
ch4_circuitscombinatoires.pptamine17157
 
Les circuits combinatoires
Les circuits combinatoires Les circuits combinatoires
Les circuits combinatoires wafawafa52
 
Ch1 circuits logiques_p3_combinatoire-v1
Ch1 circuits logiques_p3_combinatoire-v1Ch1 circuits logiques_p3_combinatoire-v1
Ch1 circuits logiques_p3_combinatoire-v1linuxscout
 
Ch1 circuits logiques_p2_transcodeurs
Ch1 circuits logiques_p2_transcodeursCh1 circuits logiques_p2_transcodeurs
Ch1 circuits logiques_p2_transcodeurslinuxscout
 
Tp transcodage logique combinatoire
Tp transcodage logique combinatoireTp transcodage logique combinatoire
Tp transcodage logique combinatoirebilal001
 
Les symboles des portes logiques
Les symboles des portes logiquesLes symboles des portes logiques
Les symboles des portes logiquesmorin moli
 
codeurs_de_positioncodeurs_de_position.ppt
codeurs_de_positioncodeurs_de_position.pptcodeurs_de_positioncodeurs_de_position.ppt
codeurs_de_positioncodeurs_de_position.pptStefTfh
 
architecture-des-ordinateurs.pdf
architecture-des-ordinateurs.pdfarchitecture-des-ordinateurs.pdf
architecture-des-ordinateurs.pdfRihabBENLAMINE
 
representation_numerique_de_l_information_annote.ppt
representation_numerique_de_l_information_annote.pptrepresentation_numerique_de_l_information_annote.ppt
representation_numerique_de_l_information_annote.pptphysikcollege
 
M-Electronique numerique avancee VHDl-FPGA-Chap1-2.pptx
M-Electronique numerique avancee VHDl-FPGA-Chap1-2.pptxM-Electronique numerique avancee VHDl-FPGA-Chap1-2.pptx
M-Electronique numerique avancee VHDl-FPGA-Chap1-2.pptxwafawafa52
 
Numeration et codage_de_linfo
Numeration et codage_de_linfoNumeration et codage_de_linfo
Numeration et codage_de_linfoyarsenv47
 
Cour d'informatique c++
Cour d'informatique c++Cour d'informatique c++
Cour d'informatique c++AHMADELHADDADI
 
Electronique-Numérique-TD-7.pdf
Electronique-Numérique-TD-7.pdfElectronique-Numérique-TD-7.pdf
Electronique-Numérique-TD-7.pdfYassine Sabri
 

Similar a Ch1 circuits logiques_p1_combinatoire-v4 (20)

Ch1 circuits logiques_p1_combinatoire-v3
Ch1 circuits logiques_p1_combinatoire-v3Ch1 circuits logiques_p1_combinatoire-v3
Ch1 circuits logiques_p1_combinatoire-v3
 
ch4_circuitscombinatoires.ppt
ch4_circuitscombinatoires.pptch4_circuitscombinatoires.ppt
ch4_circuitscombinatoires.ppt
 
Les circuits combinatoires
Les circuits combinatoires Les circuits combinatoires
Les circuits combinatoires
 
Ch1 circuits logiques_p3_combinatoire-v1
Ch1 circuits logiques_p3_combinatoire-v1Ch1 circuits logiques_p3_combinatoire-v1
Ch1 circuits logiques_p3_combinatoire-v1
 
Ch1 circuits logiques_p2_transcodeurs
Ch1 circuits logiques_p2_transcodeursCh1 circuits logiques_p2_transcodeurs
Ch1 circuits logiques_p2_transcodeurs
 
Codeur rizki
Codeur rizkiCodeur rizki
Codeur rizki
 
Td02
Td02Td02
Td02
 
Tp transcodage logique combinatoire
Tp transcodage logique combinatoireTp transcodage logique combinatoire
Tp transcodage logique combinatoire
 
Les symboles des portes logiques
Les symboles des portes logiquesLes symboles des portes logiques
Les symboles des portes logiques
 
codeurs_de_positioncodeurs_de_position.ppt
codeurs_de_positioncodeurs_de_position.pptcodeurs_de_positioncodeurs_de_position.ppt
codeurs_de_positioncodeurs_de_position.ppt
 
architecture-des-ordinateurs.pdf
architecture-des-ordinateurs.pdfarchitecture-des-ordinateurs.pdf
architecture-des-ordinateurs.pdf
 
representation_numerique_de_l_information_annote.ppt
representation_numerique_de_l_information_annote.pptrepresentation_numerique_de_l_information_annote.ppt
representation_numerique_de_l_information_annote.ppt
 
sujet 1.pptx
sujet 1.pptxsujet 1.pptx
sujet 1.pptx
 
M-Electronique numerique avancee VHDl-FPGA-Chap1-2.pptx
M-Electronique numerique avancee VHDl-FPGA-Chap1-2.pptxM-Electronique numerique avancee VHDl-FPGA-Chap1-2.pptx
M-Electronique numerique avancee VHDl-FPGA-Chap1-2.pptx
 
Numeration et codage_de_linfo
Numeration et codage_de_linfoNumeration et codage_de_linfo
Numeration et codage_de_linfo
 
Cour d'informatique c++
Cour d'informatique c++Cour d'informatique c++
Cour d'informatique c++
 
Electronique-Numérique-TD-7.pdf
Electronique-Numérique-TD-7.pdfElectronique-Numérique-TD-7.pdf
Electronique-Numérique-TD-7.pdf
 
Codage_Information.pptx
Codage_Information.pptxCodage_Information.pptx
Codage_Information.pptx
 
Assembleur
AssembleurAssembleur
Assembleur
 
Td logique
Td logiqueTd logique
Td logique
 

Más de linuxscout

Codage- positif numbers representation
Codage- positif numbers representationCodage- positif numbers representation
Codage- positif numbers representationlinuxscout
 
Codage-Negative numbers representation
Codage-Negative numbers representationCodage-Negative numbers representation
Codage-Negative numbers representationlinuxscout
 
ترويج منتجات البحث
ترويج منتجات البحثترويج منتجات البحث
ترويج منتجات البحثlinuxscout
 
crsdtla-tools-corpus-lexicon.pdf
crsdtla-tools-corpus-lexicon.pdfcrsdtla-tools-corpus-lexicon.pdf
crsdtla-tools-corpus-lexicon.pdflinuxscout
 
دور المصادر المفتوحة في أبحاث اللسانيات الحاسوبية العربية
دور المصادر المفتوحة في أبحاث اللسانيات الحاسوبية العربيةدور المصادر المفتوحة في أبحاث اللسانيات الحاسوبية العربية
دور المصادر المفتوحة في أبحاث اللسانيات الحاسوبية العربيةlinuxscout
 
منطيق : تطوير برنامج مفتوح المصدر لقراءة النصوص العربية آليا للمكفوفين
منطيق : تطوير برنامج مفتوح المصدر لقراءة النصوص العربية آليا للمكفوفينمنطيق : تطوير برنامج مفتوح المصدر لقراءة النصوص العربية آليا للمكفوفين
منطيق : تطوير برنامج مفتوح المصدر لقراءة النصوص العربية آليا للمكفوفينlinuxscout
 
تشكيلة: مدونة النصوص العربية المشكولة ودورها في تطوير برامج التشكيل الآلي
تشكيلة: مدونة النصوص العربية المشكولة ودورها في تطوير برامج التشكيل  الآليتشكيلة: مدونة النصوص العربية المشكولة ودورها في تطوير برامج التشكيل  الآلي
تشكيلة: مدونة النصوص العربية المشكولة ودورها في تطوير برامج التشكيل الآليlinuxscout
 
استغلال الشبكات الاجتماعية في الترويج للعمل التطوعي
استغلال الشبكات الاجتماعية في الترويج للعمل التطوعياستغلال الشبكات الاجتماعية في الترويج للعمل التطوعي
استغلال الشبكات الاجتماعية في الترويج للعمل التطوعيlinuxscout
 
التطبيقات اللسانية الحاسوبية للغة العربية: البدائل مفتوحة المصدر
التطبيقات اللسانية الحاسوبية للغة العربية: البدائل مفتوحة المصدرالتطبيقات اللسانية الحاسوبية للغة العربية: البدائل مفتوحة المصدر
التطبيقات اللسانية الحاسوبية للغة العربية: البدائل مفتوحة المصدرlinuxscout
 
Info1 cours 4-alphanum-v4
Info1  cours 4-alphanum-v4Info1  cours 4-alphanum-v4
Info1 cours 4-alphanum-v4linuxscout
 
Info1 cours 4- bcd-gray v3
Info1  cours 4- bcd-gray v3Info1  cours 4- bcd-gray v3
Info1 cours 4- bcd-gray v3linuxscout
 
Info1 cours 3-vf-mi-v1
Info1  cours 3-vf-mi-v1Info1  cours 3-vf-mi-v1
Info1 cours 3-vf-mi-v1linuxscout
 
Info1 cours 3-codage-m iv5
Info1  cours 3-codage-m iv5Info1  cours 3-codage-m iv5
Info1 cours 3-codage-m iv5linuxscout
 
Info1 cours 3-codage-mi-sys num
Info1  cours 3-codage-mi-sys numInfo1  cours 3-codage-mi-sys num
Info1 cours 3-codage-mi-sys numlinuxscout
 
Codage cours1--mi
Codage cours1--miCodage cours1--mi
Codage cours1--milinuxscout
 
Codage cours 2-software-mi-
Codage  cours 2-software-mi-Codage  cours 2-software-mi-
Codage cours 2-software-mi-linuxscout
 
Codage cours 1-intro-mi1-
Codage  cours 1-intro-mi1-Codage  cours 1-intro-mi1-
Codage cours 1-intro-mi1-linuxscout
 
Algèbre de boole ionction-logique-p2-1
Algèbre de boole ionction-logique-p2-1Algèbre de boole ionction-logique-p2-1
Algèbre de boole ionction-logique-p2-1linuxscout
 
Alg ebre de boole ionction-logique-p2-1
Alg ebre de boole ionction-logique-p2-1Alg ebre de boole ionction-logique-p2-1
Alg ebre de boole ionction-logique-p2-1linuxscout
 
Alg ebre de boole ionction-logique-p1
Alg ebre de boole ionction-logique-p1Alg ebre de boole ionction-logique-p1
Alg ebre de boole ionction-logique-p1linuxscout
 

Más de linuxscout (20)

Codage- positif numbers representation
Codage- positif numbers representationCodage- positif numbers representation
Codage- positif numbers representation
 
Codage-Negative numbers representation
Codage-Negative numbers representationCodage-Negative numbers representation
Codage-Negative numbers representation
 
ترويج منتجات البحث
ترويج منتجات البحثترويج منتجات البحث
ترويج منتجات البحث
 
crsdtla-tools-corpus-lexicon.pdf
crsdtla-tools-corpus-lexicon.pdfcrsdtla-tools-corpus-lexicon.pdf
crsdtla-tools-corpus-lexicon.pdf
 
دور المصادر المفتوحة في أبحاث اللسانيات الحاسوبية العربية
دور المصادر المفتوحة في أبحاث اللسانيات الحاسوبية العربيةدور المصادر المفتوحة في أبحاث اللسانيات الحاسوبية العربية
دور المصادر المفتوحة في أبحاث اللسانيات الحاسوبية العربية
 
منطيق : تطوير برنامج مفتوح المصدر لقراءة النصوص العربية آليا للمكفوفين
منطيق : تطوير برنامج مفتوح المصدر لقراءة النصوص العربية آليا للمكفوفينمنطيق : تطوير برنامج مفتوح المصدر لقراءة النصوص العربية آليا للمكفوفين
منطيق : تطوير برنامج مفتوح المصدر لقراءة النصوص العربية آليا للمكفوفين
 
تشكيلة: مدونة النصوص العربية المشكولة ودورها في تطوير برامج التشكيل الآلي
تشكيلة: مدونة النصوص العربية المشكولة ودورها في تطوير برامج التشكيل  الآليتشكيلة: مدونة النصوص العربية المشكولة ودورها في تطوير برامج التشكيل  الآلي
تشكيلة: مدونة النصوص العربية المشكولة ودورها في تطوير برامج التشكيل الآلي
 
استغلال الشبكات الاجتماعية في الترويج للعمل التطوعي
استغلال الشبكات الاجتماعية في الترويج للعمل التطوعياستغلال الشبكات الاجتماعية في الترويج للعمل التطوعي
استغلال الشبكات الاجتماعية في الترويج للعمل التطوعي
 
التطبيقات اللسانية الحاسوبية للغة العربية: البدائل مفتوحة المصدر
التطبيقات اللسانية الحاسوبية للغة العربية: البدائل مفتوحة المصدرالتطبيقات اللسانية الحاسوبية للغة العربية: البدائل مفتوحة المصدر
التطبيقات اللسانية الحاسوبية للغة العربية: البدائل مفتوحة المصدر
 
Info1 cours 4-alphanum-v4
Info1  cours 4-alphanum-v4Info1  cours 4-alphanum-v4
Info1 cours 4-alphanum-v4
 
Info1 cours 4- bcd-gray v3
Info1  cours 4- bcd-gray v3Info1  cours 4- bcd-gray v3
Info1 cours 4- bcd-gray v3
 
Info1 cours 3-vf-mi-v1
Info1  cours 3-vf-mi-v1Info1  cours 3-vf-mi-v1
Info1 cours 3-vf-mi-v1
 
Info1 cours 3-codage-m iv5
Info1  cours 3-codage-m iv5Info1  cours 3-codage-m iv5
Info1 cours 3-codage-m iv5
 
Info1 cours 3-codage-mi-sys num
Info1  cours 3-codage-mi-sys numInfo1  cours 3-codage-mi-sys num
Info1 cours 3-codage-mi-sys num
 
Codage cours1--mi
Codage cours1--miCodage cours1--mi
Codage cours1--mi
 
Codage cours 2-software-mi-
Codage  cours 2-software-mi-Codage  cours 2-software-mi-
Codage cours 2-software-mi-
 
Codage cours 1-intro-mi1-
Codage  cours 1-intro-mi1-Codage  cours 1-intro-mi1-
Codage cours 1-intro-mi1-
 
Algèbre de boole ionction-logique-p2-1
Algèbre de boole ionction-logique-p2-1Algèbre de boole ionction-logique-p2-1
Algèbre de boole ionction-logique-p2-1
 
Alg ebre de boole ionction-logique-p2-1
Alg ebre de boole ionction-logique-p2-1Alg ebre de boole ionction-logique-p2-1
Alg ebre de boole ionction-logique-p2-1
 
Alg ebre de boole ionction-logique-p1
Alg ebre de boole ionction-logique-p1Alg ebre de boole ionction-logique-p1
Alg ebre de boole ionction-logique-p1
 

Último

RAPPORT DE STAGE D'INTERIM DE ATTIJARIWAFA BANK
RAPPORT DE STAGE D'INTERIM DE ATTIJARIWAFA BANKRAPPORT DE STAGE D'INTERIM DE ATTIJARIWAFA BANK
RAPPORT DE STAGE D'INTERIM DE ATTIJARIWAFA BANKNassimaMdh
 
La mondialisation avantages et inconvénients
La mondialisation avantages et inconvénientsLa mondialisation avantages et inconvénients
La mondialisation avantages et inconvénientsJaouadMhirach
 
STRATEGIE_D’APPRENTISSAGE flee_DU_FLE.pdf
STRATEGIE_D’APPRENTISSAGE flee_DU_FLE.pdfSTRATEGIE_D’APPRENTISSAGE flee_DU_FLE.pdf
STRATEGIE_D’APPRENTISSAGE flee_DU_FLE.pdfGamal Mansour
 
Intégration des TICE dans l'enseignement de la Physique-Chimie.pptx
Intégration des TICE dans l'enseignement de la Physique-Chimie.pptxIntégration des TICE dans l'enseignement de la Physique-Chimie.pptx
Intégration des TICE dans l'enseignement de la Physique-Chimie.pptxabdououanighd
 
Formation qhse - GIASE saqit_105135.pptx
Formation qhse - GIASE saqit_105135.pptxFormation qhse - GIASE saqit_105135.pptx
Formation qhse - GIASE saqit_105135.pptxrajaakiass01
 
L application de la physique classique dans le golf.pptx
L application de la physique classique dans le golf.pptxL application de la physique classique dans le golf.pptx
L application de la physique classique dans le golf.pptxhamzagame
 
Neuvaine de la Pentecôte avec des textes de saint Jean Eudes
Neuvaine de la Pentecôte avec des textes de saint Jean EudesNeuvaine de la Pentecôte avec des textes de saint Jean Eudes
Neuvaine de la Pentecôte avec des textes de saint Jean EudesUnidad de Espiritualidad Eudista
 
Cours Généralités sur les systèmes informatiques
Cours Généralités sur les systèmes informatiquesCours Généralités sur les systèmes informatiques
Cours Généralités sur les systèmes informatiquesMohammedAmineHatoch
 
Formation échiquéenne jwhyCHESS, parallèle avec la planification de projet
Formation échiquéenne jwhyCHESS, parallèle avec la planification de projetFormation échiquéenne jwhyCHESS, parallèle avec la planification de projet
Formation échiquéenne jwhyCHESS, parallèle avec la planification de projetJeanYvesMoine
 
CompLit - Journal of European Literature, Arts and Society - n. 7 - Table of ...
CompLit - Journal of European Literature, Arts and Society - n. 7 - Table of ...CompLit - Journal of European Literature, Arts and Society - n. 7 - Table of ...
CompLit - Journal of European Literature, Arts and Society - n. 7 - Table of ...Universidad Complutense de Madrid
 
Copie de Engineering Software Marketing Plan by Slidesgo.pptx.pptx
Copie de Engineering Software Marketing Plan by Slidesgo.pptx.pptxCopie de Engineering Software Marketing Plan by Slidesgo.pptx.pptx
Copie de Engineering Software Marketing Plan by Slidesgo.pptx.pptxikospam0
 
Apolonia, Apolonia.pptx Film documentaire
Apolonia, Apolonia.pptx         Film documentaireApolonia, Apolonia.pptx         Film documentaire
Apolonia, Apolonia.pptx Film documentaireTxaruka
 
les_infections_a_streptocoques.pptkioljhk
les_infections_a_streptocoques.pptkioljhkles_infections_a_streptocoques.pptkioljhk
les_infections_a_streptocoques.pptkioljhkRefRama
 
Conférence Sommet de la formation 2024 : Développer des compétences pour la m...
Conférence Sommet de la formation 2024 : Développer des compétences pour la m...Conférence Sommet de la formation 2024 : Développer des compétences pour la m...
Conférence Sommet de la formation 2024 : Développer des compétences pour la m...Technologia Formation
 
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI DẠY BUỔI 2) - TIẾNG ANH 6, 7 GLOBAL SUCCESS (2...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI DẠY BUỔI 2) - TIẾNG ANH 6, 7 GLOBAL SUCCESS (2...GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI DẠY BUỔI 2) - TIẾNG ANH 6, 7 GLOBAL SUCCESS (2...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI DẠY BUỔI 2) - TIẾNG ANH 6, 7 GLOBAL SUCCESS (2...Nguyen Thanh Tu Collection
 
L'expression du but : fiche et exercices niveau C1 FLE
L'expression du but : fiche et exercices  niveau C1 FLEL'expression du but : fiche et exercices  niveau C1 FLE
L'expression du but : fiche et exercices niveau C1 FLElebaobabbleu
 
Les roches magmatique géodynamique interne.pptx
Les roches magmatique géodynamique interne.pptxLes roches magmatique géodynamique interne.pptx
Les roches magmatique géodynamique interne.pptxShinyaHilalYamanaka
 
Bilan énergétique des chambres froides.pdf
Bilan énergétique des chambres froides.pdfBilan énergétique des chambres froides.pdf
Bilan énergétique des chambres froides.pdfAmgdoulHatim
 
Télécommunication et transport .pdfcours
Télécommunication et transport .pdfcoursTélécommunication et transport .pdfcours
Télécommunication et transport .pdfcourshalima98ahlmohamed
 

Último (20)

RAPPORT DE STAGE D'INTERIM DE ATTIJARIWAFA BANK
RAPPORT DE STAGE D'INTERIM DE ATTIJARIWAFA BANKRAPPORT DE STAGE D'INTERIM DE ATTIJARIWAFA BANK
RAPPORT DE STAGE D'INTERIM DE ATTIJARIWAFA BANK
 
La mondialisation avantages et inconvénients
La mondialisation avantages et inconvénientsLa mondialisation avantages et inconvénients
La mondialisation avantages et inconvénients
 
STRATEGIE_D’APPRENTISSAGE flee_DU_FLE.pdf
STRATEGIE_D’APPRENTISSAGE flee_DU_FLE.pdfSTRATEGIE_D’APPRENTISSAGE flee_DU_FLE.pdf
STRATEGIE_D’APPRENTISSAGE flee_DU_FLE.pdf
 
Intégration des TICE dans l'enseignement de la Physique-Chimie.pptx
Intégration des TICE dans l'enseignement de la Physique-Chimie.pptxIntégration des TICE dans l'enseignement de la Physique-Chimie.pptx
Intégration des TICE dans l'enseignement de la Physique-Chimie.pptx
 
Formation qhse - GIASE saqit_105135.pptx
Formation qhse - GIASE saqit_105135.pptxFormation qhse - GIASE saqit_105135.pptx
Formation qhse - GIASE saqit_105135.pptx
 
L application de la physique classique dans le golf.pptx
L application de la physique classique dans le golf.pptxL application de la physique classique dans le golf.pptx
L application de la physique classique dans le golf.pptx
 
Neuvaine de la Pentecôte avec des textes de saint Jean Eudes
Neuvaine de la Pentecôte avec des textes de saint Jean EudesNeuvaine de la Pentecôte avec des textes de saint Jean Eudes
Neuvaine de la Pentecôte avec des textes de saint Jean Eudes
 
Cours Généralités sur les systèmes informatiques
Cours Généralités sur les systèmes informatiquesCours Généralités sur les systèmes informatiques
Cours Généralités sur les systèmes informatiques
 
Formation échiquéenne jwhyCHESS, parallèle avec la planification de projet
Formation échiquéenne jwhyCHESS, parallèle avec la planification de projetFormation échiquéenne jwhyCHESS, parallèle avec la planification de projet
Formation échiquéenne jwhyCHESS, parallèle avec la planification de projet
 
Echos libraries Burkina Faso newsletter 2024
Echos libraries Burkina Faso newsletter 2024Echos libraries Burkina Faso newsletter 2024
Echos libraries Burkina Faso newsletter 2024
 
CompLit - Journal of European Literature, Arts and Society - n. 7 - Table of ...
CompLit - Journal of European Literature, Arts and Society - n. 7 - Table of ...CompLit - Journal of European Literature, Arts and Society - n. 7 - Table of ...
CompLit - Journal of European Literature, Arts and Society - n. 7 - Table of ...
 
Copie de Engineering Software Marketing Plan by Slidesgo.pptx.pptx
Copie de Engineering Software Marketing Plan by Slidesgo.pptx.pptxCopie de Engineering Software Marketing Plan by Slidesgo.pptx.pptx
Copie de Engineering Software Marketing Plan by Slidesgo.pptx.pptx
 
Apolonia, Apolonia.pptx Film documentaire
Apolonia, Apolonia.pptx         Film documentaireApolonia, Apolonia.pptx         Film documentaire
Apolonia, Apolonia.pptx Film documentaire
 
les_infections_a_streptocoques.pptkioljhk
les_infections_a_streptocoques.pptkioljhkles_infections_a_streptocoques.pptkioljhk
les_infections_a_streptocoques.pptkioljhk
 
Conférence Sommet de la formation 2024 : Développer des compétences pour la m...
Conférence Sommet de la formation 2024 : Développer des compétences pour la m...Conférence Sommet de la formation 2024 : Développer des compétences pour la m...
Conférence Sommet de la formation 2024 : Développer des compétences pour la m...
 
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI DẠY BUỔI 2) - TIẾNG ANH 6, 7 GLOBAL SUCCESS (2...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI DẠY BUỔI 2) - TIẾNG ANH 6, 7 GLOBAL SUCCESS (2...GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI DẠY BUỔI 2) - TIẾNG ANH 6, 7 GLOBAL SUCCESS (2...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI DẠY BUỔI 2) - TIẾNG ANH 6, 7 GLOBAL SUCCESS (2...
 
L'expression du but : fiche et exercices niveau C1 FLE
L'expression du but : fiche et exercices  niveau C1 FLEL'expression du but : fiche et exercices  niveau C1 FLE
L'expression du but : fiche et exercices niveau C1 FLE
 
Les roches magmatique géodynamique interne.pptx
Les roches magmatique géodynamique interne.pptxLes roches magmatique géodynamique interne.pptx
Les roches magmatique géodynamique interne.pptx
 
Bilan énergétique des chambres froides.pdf
Bilan énergétique des chambres froides.pdfBilan énergétique des chambres froides.pdf
Bilan énergétique des chambres froides.pdf
 
Télécommunication et transport .pdfcours
Télécommunication et transport .pdfcoursTélécommunication et transport .pdfcours
Télécommunication et transport .pdfcours
 

Ch1 circuits logiques_p1_combinatoire-v4

  • 1. 1 Circuits Logiques ‫المنطقية‬ ‫الدارات‬ Taha Zerrouki Taha.zerrouki@gmail.com Module: Architecture des ordinateurs 1ère MI S2
  • 7. 7 7.3 NOR ( NON OU )
  • 9. 9 7.2 NAND ( NON ET )
  • 13. 13 Exercice 1 : Donner l’équation de F ?
  • 15. 15 Objectifs • Apprendre la structure de quelques circuits combinatoires souvent utilisés ( demi additionneur , additionneur complet,……..). • Apprendre comment utiliser des circuits combinatoires pour concevoir d’autres circuits plus complexes. Les circuits combinatoires
  • 16. 16 Les Circuits combinatoires • Un circuit combinatoire est un circuit numérique dont les sorties dépendent uniquement des entrées. • Si=F(Ei) • Si=F(E1,E2,….,En) Circuit combinatoire E1 E2 .. En S1 S2 .. Sm • C’est possible d’utiliser des circuits combinatoires pour réaliser d’autres circuits plus complexes. Schéma Bloc
  • 17. 17 Exemple de Circuits combinatoires 1. Multiplexeur 2. Demultiplexeur 3. Encodeur 4. Décodeur 5. Transcodeur 6. Demi Additionneur 7. Additionneur complet 8. Comparateur
  • 18. 18 2. Demi Additionneur • Le demi additionneur est un circuit combinatoire qui permet de réaliser la somme arithmétique de deux nombres A et B chacun sur un bit. • A la sotie on va avoir la somme S et la retenu R ( Carry). DA A B S R Pour trouver la structure ( le schéma ) de ce circuit on doit en premier dresser sa table de vérité
  • 19. 19 • En binaire l’addition sur un seul bit se fait de la manière suivante: A B R S 0 0 0 0 0 1 0 1 1 0 0 1 •La table de vérité associée: De la table de vérité on trouve:
  • 20. 20
  • 21. 21 3. L’additionneur complet • En binaire lorsque on fait une addition il faut tenir en compte de la retenue entrante. r4 r3 r2 r1 r0= 0 + a4 a3 a2 a1 b4 b3 b2 b1 ri-1 ai + bi
  • 22. 22 3.1 Additionneur complet 1 bit • L’additionneur complet un bit possède 3 entrées : – ai : le premier nombre sur un bit. – bi : le deuxième nombre sur un bit. – ri-1 : le retenue entrante sur un bit. • Il possède deux sorties : – Si : la somme – Ri la retenue sortante Additionneur complet ai bi ri-1 Si Ri
  • 23. 23 ai bi ri-1 ri si 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 Table de vérité d’un additionneur complet sur 1 bit
  • 24. 24 3.3 Schéma d’un additionneur complet
  • 25. 25 3.4 Additionneur sur 4 bits • Un additionneur sur 4 bits est un circuit qui permet de faire l’addition de deux nombres A et B de 4 bits chacun – A(a3a2a1a0) – B(b3b2b1b0) En plus il tient en compte de la retenu entrante • En sortie on va avoir le résultat sur 4 bits ainsi que la retenu ( 5 bits en sortie ) • Donc au total le circuit possède 9 entrées et 5 sorties. • Avec 9 entrées on a 29 =512 combinaisons !!!!!! Comment faire pour représenter la table de vérité ????? • Il faut trouver une solution plus facile et plus efficace pour concevoir ce circuit ?
  • 26. 26 •Lorsque on fait l’addition en binaire , on additionne bit par bit en commençant à partir du poids fiable et à chaque fois on propage la retenue sortante au bit du rang supérieur. L’addition sur un bit peut se faire par un additionneur complet sur 1 bits. r3 r2 r1 r0= 0 + a4 a3 a2 a1 b4 b3 b2 b1 r4 s4 r3 s3 r2 s2 r1 s1 r4 s4 s3 s2 s1 Résultat final
  • 27. 27 3.4.1 Additionneur 4 bits ( schéma )
  • 28. 28 Exercice • Soit une information binaire sur 5 bits ( i4i3i2i1i0). Donner le circuit qui permet de calculer le nombre de 1 dans l’information en entrée en utilisant uniquement des additionneurs complets sur 1 bit ? • Exemple : Si on a en entrée l’information ( i4i3i2i1i0) =( 10110) alors en sortie on obtient la valeur 3 en binaire ( 011) puisque il existe 3 bits qui sont à 1 dans l’information en entrée .
  • 30. Question? • Quel est l’unité de mesure de la mémoire? 30
  • 31. Question? • Quel est l’unité de mesure de débit? 31
  • 32. Question? • Comment transmettre un octet par bits? 32 0 0 0 1 0 0 1 1 0 0 0 1 0 0 1 1
  • 35. 35 Le Multiplexeur • Un multiplexeur est un circuit combinatoire qui permet de sélectionner une information (1 bit) parmi 2n valeurs en entrée. • Il possède : – 2n entrées d’information – Une seule sortie – N entrées de sélection ( commandes) Em ......... E3 E1 E0 C0 C1 Mux 2n 1 V Cn-1 S
  • 36. 36 Multiplexeur 2 1 V C0 S 0 X 0 1 0 E0 1 1 E1 E1 E0 C0 Mux 2 1 S V
  • 39. 39 Multiplexeur 4 1 C1 C0 S 0 0 E0 0 1 E1 1 0 E2 1 1 E3 E3 E2 E1 E0 C0 C1 Mux 4 1 S
  • 40. Exercice • Donner la table de vérité d’un multiplexeur 81 • Donner le schéma bloc
  • 41. Exercice 2 • À l'aide d'un multiplexeur • Réaliser le circuit qui determine si un nombre en 0 et 7 est premier • Donner le schéma bloc
  • 42.
  • 43. 43 Demultiplexeurs • Il joue le rôle inverse d’un multiplexeurs, il permet de faire passer une information dans l’une des sorties selon les valeurs des entrées de commandes. • Il possède : – une seule entrée – 2n sorties – N entrées de sélection ( commandes) C0 DeMux 1 4 C1 S3 S2 S1 S0 I
  • 45. 45 6.1 Demultiplexeur 14 C1 C0 S3 S2 S1 S0 0 0 0 0 0 i 0 1 0 0 i 0 1 0 0 i 0 0 1 1 i 0 0 0 C0 DeMux 1 4 C1 S3 S2 S1 S0 I
  • 46. Exercice • Donner la table de vérité d’un d démultiplexeur 18 • Donner le schéma bloc
  • 48. Transcodage • Les circuits combinatoires de transcodage • (appelés aussi convertisseurs de code). 48 transcodeur E1 E2 .. En S1 S2 .. Sm Code 2 Code 2
  • 49. Transcodage • CODEUR – 2n entrées – n sorties • DECODEUR – n entrées – 2n sorties dont une seule est validée à la fois • TRANSCODEUR – p entrées – k sorties. 49
  • 50. 50 Le décodeur binaire • C’est un circuit combinatoire qui est constitué de : – N : entrées de données – 2n sorties – Pour chaque combinaison en entrée une seule sortie est active à la fois Un décodeur 38 S0 S1 S2 S3 S4 S5 S6 S7 A B C V
  • 53. 53 Décodeur 24 V A B S0 S1 S2 S3 0 X X 0 0 0 0 1 0 0 1 0 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 0 1 1 1 0 0 0 1 S0 S1 S2 S3 A B V
  • 54. Exercice • Donner la table de vérité d’un décodeur 416 • Donner le schéma bloc
  • 55. 55 Décodeur 38 A B C S0 S1 S2 S3 S4 S5 S6 S7 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 0 1 1 0 0 0 0 0 0 0 1 0 1 1 1 0 0 0 0 0 0 0 1 S0 S1 S2 S3 S4 S5 S6 S7 A B C V
  • 56. 56 8. L’encodeur binaire • Il joue le rôle inverse d’un décodeur – Il possède 2n entrées – N sortie – Pour chaque combinaison en entrée on va avoir sont numéro ( en binaire) à la sortie. I0 I1 I2 I3 x y Encodeur 42
  • 57. L’encodeur binaire ( 42) I0 I1 I2 I3 x y 1 0 0 I0
  • 58. L’encodeur binaire ( 42) I0 I1 I2 I3 x y 1 0 1 I1
  • 59. L’encodeur binaire ( 42) I0 I1 I2 I3 x y 1 1 0I2
  • 60. L’encodeur binaire ( 42) I0 I1 I2 I3 x y 1 1 1 I3
  • 65. L’encodeur binaire ( 42) I0 I1 I2 I3 x y 0 0 0 0 0 0 1 x x x 0 0 0 1 x x 0 1 0 0 1 x 1 0 0 0 0 1 1 1 I0 I1 I2 I3 x y
  • 66. Exercice • Donner la table de vérité • d’un encodeur 164 • Donner le schéma bloc
  • 68. 9. Le transcodeur • C’est un circuit combinatoire qui permet de transformer un code X ( sur n bits) en entrée en un code Y ( sur m bits) en sortie. transcodeur E1 E2 .. En S1 S2 .. Sm
  • 70. • Décimal BCD • BCD  décimal • XS 3  décimal • Gray  excédant 3 • DCB  afficheur 7 segments • binaire 5 bits  DCB • DCB  binaire 5 bits
  • 71. Exercice • Donner la table de vérité • Transcodeur BCD /Exces 3 • Donner le schéma bloc
  • 72. Exemple : Transcodeur BCD/EXESS3 A B C D X Y Z T 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 0 0 1 1 1 0 1 0 1 1 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 1 0 1 0 1 0 0 0 1 0 1 1 1 0 0 1 1 1 0 0 1 0 1 0 x x x x 1 0 1 1 x x x x 1 1 0 0 x x x x
  • 74. 74 4.2 Comparateur 2 bits • Il permet de faire la comparaison entre deux nombres A (a2a1) et B(b2b1) chacun sur deux bits. Comparateur 2bits A1 A2 B1 B2 fi fe fs
  • 75. 75 A2 A1 B2 B1 fs f e fi 0 0 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 1 0 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 1 0 0 1 0 0 1 1 0 0 1 0 1 0 0 1 0 1 0 1 1 0 0 1 1.A=B si A2=B2 et A1=B1 2.A>B si A2 > B2 ou (A2=B2 et A1>B1) 3.A<B si A2 < B2 ou (A2=B2 et A1<B1)
  • 76. 76 4.2.2 comparateur 2 bits avec des comparateurs 1 bit •C’est possible de réaliser un comparateur 2 bits en utilisant des comparateurs 1 bit et des portes logiques. •Il faut utiliser un comparateur pour comparer les bits du poids faible et un autre pour comparer les bits du poids fort. •Il faut combiner entre les sorties des deux comparateurs utilisés pour réaliser les sorties du comparateur final. Comparateur 1 bit fs1 fe1 fi1 a1 b1 Comparateur 1 bit fs2 fe2 fi2 a2 b2
  • 77. 77 1.A=B si A2=B2 et A1=B1 2.A>B si A2 > B2 ou (A2=B2 et A1>B1) 3.A<B si A2 < B2 ou (A2=B2 et A1<B1)
  • 78. 78
  • 79. 79 4.2.3 Comparateur avec des entrées de mise en cascade • On remarque que : – Si A2 >B2 alors A > B – Si A2<B2 alors A < B • Par contre si A2=B2 alors il faut tenir en compte du résultat de la comparaison des bits du poids faible. • Pour cela on rajoute au comparateur des entrées qui nous indiquent le résultat de la comparaison précédente. • Ces entrées sont appelées des entrées de mise en cascade.
  • 80. 80 Comp fs fe fi A2 B2 Es( >) Eg( =) Ei( <) A2 B2 Es Eg Ei f s fe fs A2>B2 X X X 1 0 0 A2<B2 X X X 0 0 1 A2=B1 1 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 fs= (A2>B2) ou (A2=B2).Es fi= ( A2<B2) ou (A2=B2).Ei fe=(A2=B2).Eg
  • 81. 81
  • 82. 82 Exercice • Réaliser un comparateur 4 bits en utilisant des comparateurs 2 bits avec des entrées de mise en cascade?