SlideShare una empresa de Scribd logo
1 de 33
Descargar para leer sin conexión
Murilo Soares Pereira, RA: 298468
   Pedro Henrique de Freitas, RA: 321443




         Experimento 03
Circuito Decodificador e Multiplex


          Prof. Takashi Utsonomiya




                               ˜
      Universidade Federal de Sao Carlos




                S˜o Carlos - SP
                 a
Sum´rio
                                      a


1 Resumo                                                                                   p. 4


2 Objetivos                                                                                p. 5


3 Componentes                                                                              p. 6


4 Introdu¸˜o Te´rica
         ca    o                                                                           p. 7

  4.1   C´digos Bin´rios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
         o         a                                                                       p. 7

        4.1.1   Decimal Codificado em Bin´rio . . . . . . . . . . . . . . . . . . .
                                        a                                                  p. 7

        4.1.2   C´digo BCD 8421 . . . . . . . . . . . . . . . . . . . . . . . . . . .
                 o                                                                         p. 8

        4.1.3   Convers˜o Decimal para BCD . . . . . . . . . . . . . . . . . . . .
                       a                                                                   p. 9

        4.1.4   Convers˜o BCD para Decimal . . . . . . . . . . . . . . . . . . . .
                       a                                                                  p. 11

  4.2   Display de 7 segmentos . . . . . . . . . . . . . . . . . . . . . . . . . . . .    p. 11

        4.2.1   Decodificador para display de 7 segmentos . . . . . . . . . . . . .        p. 13

  4.3   Multiplexador . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .   p. 16

        4.3.1   Aplica¸oes de Mux . . . . . . . . . . . . . . . . . . . . . . . . . .
                      c˜                                                                  p. 16


5 Procedimento Experimental                                                               p. 17

  5.1   Primeira parte do Experimento . . . . . . . . . . . . . . . . . . . . . . .       p. 17

  5.2   Segunda Parte do Experimento . . . . . . . . . . . . . . . . . . . . . . .        p. 21
6 Tarefas                                                                              p. 26

  6.1   Mapa de Karnaugh para todas as letras do Display de 7 Segmentos . . .          p. 26

  6.2   Display de 7 Segmentos com 2 D´
                                      ıgitos . . . . . . . . . . . . . . . . . . .     p. 28

  6.3   Implementa¸ao de Subtrator Completo utilizando Multiplex . . . . . . .
                  c˜                                                                   p. 29

        6.3.1   Subtrator utilizando Mux 4x1 . . . . . . . . . . . . . . . . . . . .   p. 29


7 Conclus˜es
         o                                                                             p. 32


8 Bibliografia                                                                          p. 33
4




1       Resumo


    No primeira parte do terceiro experimento da disciplina de Laboratorio de Circuitos
Digitais, foi utilizado um decodificador de 7 segmentos - no caso, um decodificador 74LS48
- o qual convertia um numero em formato de codigo BCD, para uma saida que podia ser
interpretada como um numero decimal, atraves de um display que acende seus filamentos.
Quando combinados de diferentes maneiras, os filamentos exibiram numeros interpretados
no codigo decimal.
5




2       Objetivos



    No terceiro experimento foram analisados o comportamento de um decodificador de 7
segmentos utilizando um componente (decodificador 74LS48) e um display (PHO500) de
exibi¸ao de n´meros decimais. Na segunda parte do experimento, realizado uma semana
     c˜      u
depois, foi analisado o comportamento de um multiplex (74LS153) e como implementar
um somador completo utilizando este componente.
6




3       Componentes



    • Prot-o-board

    • Circuito integrado (74LS48)

    • Componente (display PHO500)

    • Fios

    • Alicate

    • Mult´
          ımetro

    • Fonte de alimenta¸ao
                       c˜
7




4       Introdu¸˜o Te´rica
               ca    o



4.1     C´digos Bin´rios
         o         a

    A convers˜o de um n´mero decimal no seu equivalente bin´rio ´ chamada codifica¸ao.
             a         u                                   a e                   c˜
Um n´mero decimal ´ expresso como um c´digo bin´rio ou n´mero bin´rio. O sistema
    u             e                   o        a        u        a
num´rico bin´rio, como apresentado, ´ conhecido como c´digo bin´rio puro. Este nome o
   e        a                       e                 o        a
diferencia de outros tipos de c´digos bin´rios.
                               o         a


4.1.1    Decimal Codificado em Bin´rio
                                 a

    O sistema num´rico decimal ´ f´cil de se usar devido ` familiaridade. J´ o sistema
                 e             e a                       a                 a
num´rico bin´rio ´ menos conveniente de se usar, pois nos ´ menos familiar. .
   e        a e                                           e

                    u        a                          u                 ´ ıcil
    Por exemplo, o n´mero bin´rio 1010011 representa o n´mero decimal 83. E dif´ dizer
imediatamente, por inspe¸ao do n´mero, qual seu valor decimal. Entretanto, em alguns
                        c˜      u
minutos, usando os procedimentos de convers˜o de base, pode-se calcular o seu valor deci-
                                           a
mal. A quantidade de tempo necess´ria para converter ou reconhecer um n´mero bin´rio
                                 a                                     u        a
´ uma desvantagem em trabalhar com este c´digo, a despeito das numerosas vantagens do
e                                        o
ponto de vista de “trabalhar com hardware”.

    Os engenheiros reconheceram este problema cedo, e desenvolveram uma forma especial
de c´digo bin´rio mais compat´ com o sistema decimal. Como uma grande quantidade
    o        a               ıvel
de dispositivos digitais, instrumentos e equipamentos usam entradas e sa´
                                                                        ıdas decimais,
este c´digo especial tornou-se muito difundido e utilizado. Esse c´digo especial ´ chamado
      o                                                           o              e
decimal codificado em bin´rio (BCD - binary coded decimal). O c´digo BCD combina
                        a                                     o
algumas das caracter´
                    ısticas dos sistemas num´ricos bin´rio e decimais.
                                            e         a
8


4.1.2    C´digo BCD 8421
          o

   O c´digo BCD ´ um sistema de representa¸ao dos d´
      o         e                         c˜       ıgitos decimais de 0 a 9 com um
c´digo bin´rio de 4 bits. Esse c´digo BCD usa o sistema de pesos posicionais 8421 do c´digo
 o        a                     o                                                     o
bin´rio puro. O usual c´digo 8421 BCD e os equivalentes decimais s˜o mostrados na tabela
   a                   o                                          a
abaixo, onde representamos os decimais de 0 a 9 (1 d´
                                                    ıgito). Exatamente como bin´rio puro,
                                                                               a
pode-se converter os n´meros BCD em seus equivalentes decimais simplesmente somando
                      u
os pesos das posi¸oes de bits onde aparece 1.
                 c˜

                                Decimal BCD 8421 Bin´rio
                                                    a
                                0       0000         0000
                                1       0001         0001
                                2       0010         0010
                                3       0011         0011
                                4       0100         0100
                                5       0101         0101
                                6       0110         0110
                                7       0111         0111
                                8       1000         1000
                                9       1001         1001

                           Tabela 4.1: Decimal, BCD, Bin´rio
                                                        a



   Observamos, entretanto, que existem apenas dez c´digos v´lidos. Os n´meros bin´rios
                                                   o       a           u         a
de 4 bits representando os n´meros decimais desde 10 at´ 15 s˜o inv´lidos no sistema BCD.
                            u                          e     a     a
Para representar um n´mero decimal em nota¸˜o BCD substitui-se cada d´
                     u                    ca                         ıgito decimal pelo
c´digo de 4 bits apropriados.
 o

   Por exemplo, o inteiro decimal 834 em BCD ´ 1000 0011 0100. Cada d´
                                             e                       ıgito decimal
´ representado pelo seu c´digo BCD 8421 equivalente. Um espa¸o pode ser deixado entre
e                        o                                  c
cada grupo de 4 bits para evitar confus˜o do formato BCD com o c´digo bin´rio puro.
                                       a                        o        a
Este m´todo de representa¸˜o tamb´m se aplica `s fra¸oes decimais.
      e                  ca      e            a     c˜

   Por exemplo, a fra¸˜o decimal 0,764 ´ “0.0111 0110 0100” em BCD. Novamente, cada
                     ca                e
9


d´
 ıgito decimal ´ representado pelo seu c´digo equivalente 8421, com um espa¸o entre cada
               e                        o                                  c
grupo.

    Uma vantagem do c´digo BCD ´ que as dez combina¸oes do c´digo BCD s˜o f´ceis de
                     o         e                   c˜       o          a a
lembrar. Conforme se come¸a a trabalhar com n´meros bin´rios regularmente, os n´meros
                         c                   u         a                       u
BCD tornam-se t˜o f´ceis e autom´ticos como n´meros decimais. Por esta raz˜o, por
               a a              a            u                            a
simples inspe¸˜o da representa¸ao BCD de um n´mero decimal pode-se efetuar a convers˜o
             ca               c˜             u                                      a
quase t˜o r´pido como se j´ estivesse na forma decimal.
       a a                a

    O c´digo BCD simplifica a interface Homem-m´quina, mas ´ menos eficiente que o
       o                                      a           e
c´digo bin´rio puro, pelo motivo de usar mais bits para representar um dado n´mero
 o        a                                                                  u
decimal em BCD em rela¸ao ` representa¸ao em nota¸˜o bin´ria pura.
                      c˜ a            c˜         ca     a

    Por exemplo, o n´mero decimal 83 ´ escrito como 1000 0011. Em c´digo bin´rio
                    u                e                             o        a
puro, usam-se apenas 7 bits para representar o n´mero 83. Em BCD, usam-se 8 bits. O
                                                u
c´digo BCD ´ ineficiente, pois, para cada bit numa palavra de dado, h´ usualmente alguns
 o         e                                                        a
circuitos digitais associados. Os circuitos extras associados com o c´digo BCD aumentam
                                                                     o
o pre¸o, a complexidade do equipamento e consomem mais energia. Opera¸˜es aritm´ticas
     c                                                               co        e
com n´meros BCD tamb´m consomem mais tempo e s˜o mais complexas que aquelas com
     u              e                         a
n´meros bin´rios puros. Com quatro bits de informa¸ao bin´ria, podemos representar um
 u         a                                      c˜     a
total de 24 = 16 estados diferentes ou os n´meros decimais equivalentes desde o 0 ao 15.
                                           u
No sistema BCD, seis destes estados (10-15) s˜o “desperdi¸ados”.
                                             a           c

    Quando o sistema num´rico BCD ´ usado, alguma eficiˆncia ´ perdida, mas aumenta-se
                        e         e                   e     e
o entendimento entre o equipamento digital e o operador humano.


4.1.3     Convers˜o Decimal para BCD
                 a

    A convers˜o de decimal para BCD ´ simples e direta. Entretanto, a convers˜o de
             a                      e                                        a
bin´rio para BCD n˜o ´ direta. Uma convers˜o intermedi´ria deve ser realizada primeiro.
   a              a e                     a           a
Por exemplo, o n´mero 1011.01 ´ convertido no seu equivalente BCD.
                u             e

    Primeiro o n´mero bin´rio ´ convertido para decimal. 1011.012 = (1x23 ) + (0x22 ) +
                u        a    e
(1x21 ) + (1x20 ) + (0x2−1 ) + (1x2−2 ) = 8 + 0 + 2 + 1 + 0 + 0, 25 = 11, 2510

    Ent˜o o resultado decimal ´ convertido para BCD. 11, 2510 = 00010001.001001012
       a                      e
10


   Para converter de BCD para bin´rio, as opera¸oes anteriores s˜o invertidas. Por exem-
                                 a             c˜               a
plo, o n´mero BCD 1001 0110.0110 0010 0101 ´ convertido no seu equivalente bin´rio.
        u                                  e                                  a


  1. o n´mero BCD ´ convertido para decimal. 1001 0110.0110 0010 0101 = 96,625
        u         e

  2. o resultado decimal ´ convertido para bin´rio
                         e                    a


   V´rios c´digos bin´rios s˜o chamados c´digos alfanum´ricos pois eles s˜o usados para
    a      o         a      a            o             e                 a
representar caracteres assim como n´meros.
                                   u




                      Figura 4.1: Codificador decimal para BCD




                      Figura 4.2: Esquema interno do codificador
11


4.1.4    Convers˜o BCD para Decimal
                a

   ´
   E o contr´rio do processo de convers˜o de Decimal para BCD
            a                          a




                      Figura 4.3: Esquema interno do codificador




4.2     Display de 7 segmentos

   Um display de 7 segmentos ´ um dispositivo eletrˆnico bastante usado para indica¸ao
                             e                     o                               c˜
de valores num´ricos. Ele mostra ao usu´rio de um sistema digital um algarismo decimal
              e                        a
de 0 a 9, conforme a figura abaixo:
12




Figura 4.4: Formato de um display de 7 segmentos mostrando a localiza¸˜o de cada um
                                                                     ca
dos segmentos (a, b, c, d, e, f, g)



    Desde que ele pode indicar d´
                                ıgitos de 0 a 9 (10 no total), a informa¸˜o bin´ria precisa
                                                                        ca     a
ter 4 d´
       ıgitos bin´rios, pois com apenas 3, s´ 8 valores poderiam ser exibidos.
                 a                          o

    Os displays de 7 segmentos podem ser do tipo anodo comum, onde todos os ˆnodos
                                                 ˆ                          a
s˜o ligados juntos (fig. 4.5), ou do tipo c´todo comum, onde todos os c´todos s˜o ligados
 a                                        a                           a       a
juntos (fig. 4.6). Com o tipo de ˆnodo comum da figura 4.5, deve-se ligar um resistor
                                a
limitador de corrente entre cada LED e o terra. O valor desse resistor determina quanta
corrente flue atrav´s do LED (a corrente t´
                  e                      ıpica do LED est´ entre 1mA e 50mA) O tipo
                                                         a
c´todo comum da figura 4.6 usa um resistor limitador de corrente entre cada LED e +Vcc.
 a




                                             ˆ
                                 Figura 4.5: Anodo comum
13




                               Figura 4.6: C´todo comum
                                            a



4.2.1     Decodificador para display de 7 segmentos

   Deve-se saber que a informa¸˜o bin´ria n˜o tem necessariamente rela¸ao com o n´mero
                              ca     a     a                          c˜         u
bin´rio que ela representa. Por exemplo, para a combina¸ao 0 – da tabela mostrada abaixo
   a                                                   c˜
– a b c d e f g ficam 1111110. Esse n´mero bin´rio n˜o ´ igual ao d´
                                    u        a     a e            ıgito correspondente no
display (que seria o 0). Isto ´, na realidade, um c´digo para o display de sete segmentos.
                              e                    o

   O circuito l´gico que converte a entrada para o c´digo ´ chamado decodificador. A
               o                                    o     e
pr´pria entrada de 4 bits ABCD, que tem rela¸ao direta com o valor decimal, ´ tamb´m
  o                                         c˜                              e     e
chamada de c´digo BCD. (tabela ABCDEFG).
            o

   Um decodificador para display de 7 segmentos ´ um circuito digital formado por por-
                                               e
tas l´gicas que, ao receber uma palavra bin´ria de 4 bits representativa do algarismo a
     o                                     a
ser mostrado, aciona os segmentos correspondentes no display, conforme mostra a figura
abaixo:




 Figura 4.7: Interliga¸˜o de um decodificador para display de 7 segmentos com o display
                      ca
14


   H´ dois tipos de decodificadores correspondendo aos displays de anodo comum e c´todo
    a                                                             ˆ              a
comum. Cada decodificador tem 4 pinos de entrada (entrada em c´digo BCD) e 7 pinos
                                                             o
de sa´ (os segmentos de A a G).
     ıda

   A figura 4.8 mostra um 7446 acionando um indicador de ˆnodo comum. Os circuitos
                                                        a
l´gicos internos do 7446 convertem a entrada BCD para a sa´ necess´ria. Por exemplo, se
 o                                                        ıda     a
a entrada BCD ´ 0111, a l´gica interna do 7446 ir´ for¸ar os LED’s a, b, e c a conduzirem,
              e          o                       a c
porque os correspondentes trans´
                               ıstores entram em satura¸ao. Como resultado, o d´
                                                       c˜                      ıgito 7
aparecer´ no display de 7 segmentos.
        a




   Figura 4.8: Decodificador-acionador 7446 acionando um indicador de ˆnodo comum
                                                                     a



   Observe os resistores limitadores de corrente entre o display de 7 segmentos e o 7446 da
figura 4.8. Deve-se ligar esses resistores externos para limitar a corrente em cada segmento
15


a um valor seguro entre 1mA e 50mA, dependendo da intensidade do brilho que desejamos
que o display apresente.

    A figura 4.9 mostra a decodifica¸ao alternativa. Nela, um 7448 aciona um indicador de
                                  c˜
c´todo comum. Novamente, a l´gica converte a entrada BCD para a sa´ necess´ria. Por
 a                          o                                     ıda     a
exemplo, quando ´ usada uma entrada BCD igual a 0100, a l´gica interna for¸a os LED’s
                e                                        o                c
b, c, f e g a conduzirem. O display de 7 segmentos mostra, ent˜o, o n´mero decimal 4.
                                                              a      u
Ao contr´rio do 7446, que necessita resistores limitadores de corrente externos, o 7448 tem
        a
seus pr´prios resistores limitadores de corrente na pastilha.
       o




              Figura 4.9: Decodificador 7448 acionando um c´todo comum
                                                          a
16


4.3        Multiplexador

       Basicamente, o multiplexador (tamb´m conhecido por Mux) ´ composto por v´rias
                                         e                     e               a
linhas de entrada e uma unica linha de sa´
                        ´                ıda, e entre eles uma chave que varia a entrada
ocasionando v´rias respostas. Cada entrada tem seu n´ l´gico ou sinal pr´prio. A chave
             a                                      ıvel o              o
´ control´vel, sendo assim o controle determina qual ser´ a linha de entrada escolhida.
e        a                                              a
Pode-se implementar um multiplexador com portas l´gicas, tendo em vista que atrav´s da
                                                 o                               e
chave se habilita ou n˜o uma porta. O n´mero de entradas sempre equivale a 2n, no qual
                      a                u
n ´ o n´mero de chaves do Mux.
  e    u




                             Figura 4.10: Exemplo de Mux (8x1)



       Um multiplexador pode se comportar como um gerador de fun¸˜es, pois tendo v´rias
                                                                co                a
entradas, n´s podemos colocar v´rias fun¸˜es, uma em cada linha, assim alternando a chave
           o                   a        co
em fun¸˜o do tempo, n´s conseguir´
      ca             o           ıamos ter fun¸oes espec´
                                              c˜        ıficas, de acordo com a entrada.


4.3.1       Aplica¸˜es de Mux
                  co

       Na vida real, observamos v´rias situa¸˜es an´logas ao multiplexador. Entre eles est˜o
                                 a          co     a                                      a
o sem´foro de 3 tempos, que seleciona quem deve passar a cada instante. V´rias filas de
     a                                                                   a
carros que se afunilam para atravessar uma ponte onde s´ ´ poss´ passar um carro por
                                                       oe      ıvel
vez.
17




5       Procedimento Experimental



5.1     Primeira parte do Experimento

    Nos foi explicado o funcionamento b´sico de um display de 7 segmentos. Ele pediu-nos
                                       a
que coloc´ssemos o circuito integrado 74LS48 na prot-o-board. Assim, implementamos o
         a
circuito esquematizado abaixo:




                         Figura 5.1: Circuito integrado 74LS48



    • B, C, D, A correspondem as entradas do n´mero BCD (bin´rios de 0 a 9)
                              `               u             a

    • F, g, a, b ,c, d, e correspondem aos segmentos do display em catodo comum
18


• RBI (Ripple-blanking input) quando em n´ baixo, indica que o zero ´ suprimido.
                                         ıvel                       e
  Utilizado para a representa¸ao de n´meros com casas decimais (casas depois do ponto
                             c˜      u
  do PHO500)

• RBO /BI (Ripple-blanking output)/ (Blanking input) pode ser interpretado como
  a sa´ RBO ou como a entrada BI. Como pino de sa´
      ıda                                        ıda, indica, quando em n´
                                                                         ıvel
  baixo, que o d´
                ıgito atual teve um zero suprimido. Se este pino estiver em n´ baixo,
                                                                             ıvel
  todos os segmentos ser˜o apagados
                        a

• LT, denominado Light Test, testa se os filamentos est˜o funcionando corretamente.
                                                      a
  Quando seu sinal ´ baixo, todas as sa´
                   e                   ıdas s˜o altas (os LEDs acendem)
                                             a
19


                            Entradas           BI/               Sa´
                                                                   ıdas
                 LT   RBI D        C   B   A RBO     a   b   c     d      e   f   g
            0     H     H      L   L   L   L   H     H H     H H H H              L
            1     H     X      L   L   L   H   H     L   H   H     L      L   L   L
            2     H     X      L   L   H   L   H     H H     L     H H        L   H
            3     H     X      L   L   H   H   H     H H     H H          L   L   H
            4     H     X      L   H   L   L   H     L   H   H     L      L   H   H
            5     H     X      L   H   L   H   H     H   L   H H          L   H   H
            6     H     X      L   H H     L   H     L   L   H H H H              H
            7     H     X      L   H H     H   H     H H     H     L      L   L   L
            8     H     X      H   L   L   L   H     H H     H H H H              H
            9     H     X      H   L   L   H   H     H H     H     L      L   H   H
           10     H     X      H   L   H   L   H     L   L   L     H H        L   H
           11     H     X      H   L   H   H   H     L   L   H H          L   L   H
           12     H     X      H   H   L   L   H     L   H   L     L      L   H   H
           13     H     X      H   H   L   H   H     H   L   L     H      L   H   H
           14     H     X      H   H H     L   H     L   L   L     H H H          H
           15     H     X      H   H H     H   H     L   L   L     L      L   L   L
           BI     H     H      X   X X     L   L     L   L   L     L      L   L   L
           RBI    H     L      L   L   L   H   L     L   L   L     L      L   L   L
           LT     L     X      X   X X     H   H     H H     H H H H              H

  Tabela 5.1: Tabela de entradas e sa´
                                     ıdas (H: n´ alto, L: n´ baixo, X: irrelevante)
                                               ıvel        ıvel



   Iniciamos o experimento com o decodificador de 7 segmentos, utilizando como sa´ os
                                                                                ıda
LEDs da prot-o-board para testar as sa´
                                      ıdas. A partir disso, ´ testado o display.
                                                            e

   Apresentamos o resultado ao professor e explicamos as propriedades acima. O pr´ximo
                                                                                 o
passo foi o estudo do display de 7 segmentos (circuito integrado PHO500), que tamb´m foi
                                                                                  e
inclu´ em nossa prot-o-board, como esquematizado a seguir:
     ıdo
20




                 Figura 5.2: Implementa¸˜o do display de 7 segmentos
                                       ca



   Para que as sa´
                 ıdas do display (a, b, c, d, e) possam ser ativadas, s˜o necess´rios que
                                                                       a        a
RBI, LT e BI/RBO estejam em Vcc.

   Mostramos ao professor todas as numera¸˜es que tal display podia exibir (0 a 9)
                                         co
alternando-se as chaves B, C, D, A.

   A tabela abaixo mostra as combina¸oes necess´rias para gerar os d´
                                    c˜         a                    ıgitos decimais de 0
a 9 dos filamentos do display.
21


                           Decimal a b       c   d   e   f   g
                               0     1   1   1   1   1 1 0
                               1     0   1   1   0   0 0 0
                               2     1   1   0   1   1 0 1
                               3     1   1   1   1   0 0 1
                               4     0   1   1   0   0 1 1
                               5     1   0   1   1   0 1 1
                               6     1   0   1   1   1 1 1
                               7     1   1   1   0   0 0 0
                               8     1   1   1   1   1 1 1
                               9     1   1   1   1   0 1 1

      Tabela 5.2: Display de 7 segmentos (0: filamento apagado, 1: filamento aceso)




5.2      Segunda Parte do Experimento

   A segunda parte do experimento descrito neste relat´rio refere-se ao Multiplexador e
                                                      o
suas propriedades.

   O professor explicou-nos os fundamentos de um multiplexador e suas aplica¸˜es. Foi-
                                                                            co
nos entregue o circuito integrado 74LS153 (Multiplexador) com a finalidade de testar o
seu funcionamento correto. Analizamos seu funcionamento e verificamos que o Strobe,
localizado no pino 1, tem a fun¸˜o de ativar ou desativar o multiplex. Isso pode ser
                               ca
verificado na tabela abaixo, uma vez que, quando o n´ do Strobe ´ alto, a entrada de
                                                   ıvel        e
dados e a sele¸ao de entradas torna-se irrelevante, e a sa´ de dados tem sempre n´
              c˜                                          ıda                    ıvel
baixo.
22




                          Figura 5.3: Circuito integrado 74LS153



           Sele¸˜o de entradas
               ca                 Entrada de dados   Strobe Sa´ de dados
                                                              ıda
           B          A           C3 C2 C1 C0           G           Y
           X          X           X    X    X    X      H           L
           L          L           X    X    X    L      L           L
           L          L           X    X    X    H      L           H
           L          H           X    X    L    X      L           L
           L          H           X    X    H    X      L           H
           H          L           X    L    X    X      L           L
           H          L           X    H    X    X      L           H
           H          H           L    X    X    X      L           L
           H          H           H    X    X    X      L           H

         Tabela 5.3: Tabela de entradas e sa´
                                            ıdas (H: 5V, L: 0V, X: irrelevante)



   Apresentamos os resultados ao professor e discutimos a propriedade do Strobe, citada
acima.

   A pr´xima etapa foi a implemente¸ao de um somador utilizando um multiplex e uma
       o                           c˜
porta inversora (74LS04).
23


                          Soma = A XOR B XOR Vem-Um
                          Vem-um / BA           0 1 11            10
                                      0         0 1      0        1
                                      1         0 0      1        0

                      Tabela 5.4: Mapa de Karnaugh para Soma



                      Vai-Um = A.B + A.Vem-Um + B.Vem-Um
                      Vem-um / BA         0 1 11                  10
                              0           0 0    1                0
                              1           0 1    1                1

                     Tabela 5.5: Mapa de Karnaugh para o Vai-Um



                                  Entradas               Sa´
                                                           ıdas
                          B A Vem-Um Soma Vai-Um
                          0       0       0          0            0
                          0       0       1          1            0
                          0       1       0          1            0
                          0       1       1          0            1
                          1       0       0          1            0
                          1       0       1          0            1
                          1       1       0          0            1
                          1       1       1          1            1

                              Tabela 5.6: Entradas e sa´
                                                       ıdas



   Soma : 1C0 = 1C3 = Vem Um
1C1 = 1C2 = Vem Um Barrado
Vai Um = 2C0 = 0V
2C1 = 2C2 = Vem Um
24


2C3 = VCC


   Analisando a tabela verdade, pode-se observar que as entradas A e B mantˆm os
                                                                           e
mesmos valores quando o Vem-Um ´ alterado. Logo, ´ poss´ impor o Vem-Um como a
                               e                 e     ıvel
entrada dos multiplexadores, as entradas A e B como controle, e, assim, obtemos as sa´
                                                                                     ıdas
do multiplex.

   O esquema abaixo mostra um somador completo simplificado utilizando dois multiple-
xadores:




                         Figura 5.4: Somador com 2 multiplex
25


   A implementa¸˜o do somador utilizando dois multiplexadores e uma porta inversora
               ca
foi feita de acordo com o esquema abaixo:




         Figura 5.5: Diagrama esquem´tico do somador utilizando 2 multiplex
                                    a
26




6     Tarefas



6.1   Mapa de Karnaugh para todas as letras do Dis-
      play de 7 Segmentos

           A(D, C, B, A) = (C’+ B + A) . (D + C + B + A)



                     BA/DC 00 01 11 10
                        00      1   0    x   1
                        01      0   1    x   1
                        11      1   1    x   x
                        10      1   1    x   x

                   Tabela 6.1: Mapa para a letra a



            B(D, C, B, A) = (C’+ B + A) . (C’ + B’ + A)



                     BA/DC 00 01 11 10
                        00      1   1    x   1
                        01      1   0    x   1
                        11      1   1    x   x
                        10      1   0    x   x

                   Tabela 6.2: Mapa para a letra b
27


                C(D, C, B, A) = (C’+ B + A) . (B’ + A)



                       BA/DC 00 01 11 10
                          00      1   1    x   1
                          01      1   0    x   1
                          11      1   1    x   x
                          10      0   0    x   x

                     Tabela 6.3: Mapa para a letra c



D(D, C, B, A) = (C’ + A + B) . (C + B + A’) . (C’ + B’ + A’) . (D’ + A’)



                       BA/DC 00 01 11 10
                          00      1   0    x   1
                          01      0   1    x   0
                          11      1   0    x   x
                          10      1   1    x   x

                     Tabela 6.4: Mapa para a letra d



            E(D, C, B, A) = (C’ + B) . (D + A’) . (D’ + A’)



                       BA/DC 00 01 11 10
                          00      1   0    x   1
                          01      0   x    0   0
                          11      0   x    x   x
                          10      1   1    x   x

                     Tabela 6.5: Mapa para a letra e
28


              F(D, C, B, A) = (D + C + A’) . (D + C + B’) . (B’ + A’)



                               BA/DC 00 01 11 10
                                 00      1    1   x    1
                                 01      0    1   x    1
                                 11      0    0   x    x
                                 10      0    1   x    x

                            Tabela 6.6: Mapa para a letra f




6.2     Display de 7 Segmentos com 2 D´
                                      ıgitos

   Para realizarmos tal tarefa, basta dispormos de 4 componentes: 2 circuitos 74LS48 e
mais 2 circuitos PHO500. Como queremos exibir 2 d´
                                                 ıgitos, o display da esquerda (dezenas)
n˜o poder´ exibir o n´mero 0. Para tanto, acrescentarmos uma porta NOT a entrada BI’.
 a       a           u                                                 `




                            Figura 6.1: Display da esquerda
29




                             Figura 6.2: Display da direita




6.3     Implementa¸˜o de Subtrator Completo utilizando
                  ca
        Multiplex

6.3.1    Subtrator utilizando Mux 4x1

   Para produzir um subtrator completo a partir de multiplexadores, devemos primeira-
mente analisar a tabela verdade deste.
30


                    B A Empresta-Um Subtra¸˜o Deve-Um
                                          ca
                    0   0         0               0       0
                    0   0         1               1       1
                    0   1         0               1       1
                    0   1         1               0       1
                    1   0         0               1       0
                    1   0         1               0       0
                    1   1         0               0       0
                    1   1         1               1       1

                  Tabela 6.7: Tabela-verdade da opera¸˜o subtra¸ao
                                                     ca        c˜



   Separamos ent˜o a coluna do empresta-um e agrupamos em pares, comparando os pares
                a
de sa´ com os do empresta-um, como a seguir.
     ıda

                    B A Empresta-Um Subtra¸˜o Deve-Um
                                          ca
                    0   0         0          0 (E-1)    0 (E-1)
                    0   0         1               1       1
                    0   1         0          1 (E-1)’    1 (1)
                    0   1         1               0       1
                    1   0         0          1 (E-1)’    0 (0)
                    1   0         1               0       0
                    1   1         0          0 (E-1)    0 (E-1)
                    1   1         1               1       1



   Verificamos que as entradas s˜o as seguintes:
                               a


   • Empresta-um: 1E0, 1E3, 2E0, 2E3

   • Empresta-um’: 1E1, 1E2

   • Vcc: 2E1
31


• GND: 2E2


A partir disso, montamos o seguinte esquema do circuito:




                  Figura 6.3: Subtrator utilizando Mux (4x1)
32




7        Conclus˜es
                o



    Os objetivos do experimento em quest˜o foram alcan¸ados. Al´m de estudarmos o
                                        a             c        e
c´digo BCD, efetuamos a sua implementa¸ao e percebemos que o seu funcionamento ´ mais
 o                                    c˜                                       e
intuitivo em rela¸ao ao c´digo bin´rio, o que facilita a intera¸ao homem-m´quina. O c´digo
                 c˜      o        a                            c˜         a          o
BCD foi decodificado para o display de 7 segmentos e pudemos verificar o funcionamento
deste dispositivo.

    Vimos, tamb´m, as diversas aplica¸oes de um multiplex em nossas vidas. O multiplex
               e                     c˜
tamb´m facilitou a implementa¸ao de um somador completo, j´ estudado anteriormente.
    e                        c˜                           a
Tal fato tamb´m se verificou ao subtrator.
             e
33




8       Bibliografia



    • MALVINO e LEACH. Eletrˆnica Digital: Princ´
                            o                   ıpios e Aplica¸oes.
                                                              c˜

    • TOCCI, WIDMER E MOSS. Sistemas Digitais: Princ´
                                                    ıpios e Aplica¸˜es.
                                                                  co

    • www.ee.pucrs.br

    • www2.eletronica.org

    • www.inf.ufrgs.br

Más contenido relacionado

La actualidad más candente

TRANSFORMADA DE LAPLACE PARA CIRCUITOS RLC
TRANSFORMADA  DE LAPLACE PARA CIRCUITOS RLCTRANSFORMADA  DE LAPLACE PARA CIRCUITOS RLC
TRANSFORMADA DE LAPLACE PARA CIRCUITOS RLCJOe Torres Palomino
 
Ecuaciones 3-grado-ejercicios-resueltos
Ecuaciones 3-grado-ejercicios-resueltosEcuaciones 3-grado-ejercicios-resueltos
Ecuaciones 3-grado-ejercicios-resueltosMichael Zavb
 
S4 edo homogeneas-exactas-bernoulli
S4 edo homogeneas-exactas-bernoulliS4 edo homogeneas-exactas-bernoulli
S4 edo homogeneas-exactas-bernoulliNeil Sulca Taipe
 
CODIGO BCD E1.pptx
CODIGO BCD E1.pptxCODIGO BCD E1.pptx
CODIGO BCD E1.pptxMIGUEL48407
 

La actualidad más candente (7)

TRANSFORMADA DE LAPLACE PARA CIRCUITOS RLC
TRANSFORMADA  DE LAPLACE PARA CIRCUITOS RLCTRANSFORMADA  DE LAPLACE PARA CIRCUITOS RLC
TRANSFORMADA DE LAPLACE PARA CIRCUITOS RLC
 
Ecuaciones 3-grado-ejercicios-resueltos
Ecuaciones 3-grado-ejercicios-resueltosEcuaciones 3-grado-ejercicios-resueltos
Ecuaciones 3-grado-ejercicios-resueltos
 
Tecnicas de integracion
Tecnicas de integracionTecnicas de integracion
Tecnicas de integracion
 
Rectificador en puente
Rectificador en puenteRectificador en puente
Rectificador en puente
 
S4 edo homogeneas-exactas-bernoulli
S4 edo homogeneas-exactas-bernoulliS4 edo homogeneas-exactas-bernoulli
S4 edo homogeneas-exactas-bernoulli
 
Microprocesadores
MicroprocesadoresMicroprocesadores
Microprocesadores
 
CODIGO BCD E1.pptx
CODIGO BCD E1.pptxCODIGO BCD E1.pptx
CODIGO BCD E1.pptx
 

Destacado

Arquitetura de Computadores: Memórias - Nível lógico
Arquitetura de Computadores: Memórias - Nível lógicoArquitetura de Computadores: Memórias - Nível lógico
Arquitetura de Computadores: Memórias - Nível lógicoElaine Cecília Gatto
 
Circuitos integrados
Circuitos integradosCircuitos integrados
Circuitos integradoscabaldreams
 
343logic-design-lab-manual-10 esl38-3rd-sem-2011
343logic-design-lab-manual-10 esl38-3rd-sem-2011343logic-design-lab-manual-10 esl38-3rd-sem-2011
343logic-design-lab-manual-10 esl38-3rd-sem-2011e11ie
 
Arquiteturas de Computadores Paralelos
Arquiteturas de Computadores ParalelosArquiteturas de Computadores Paralelos
Arquiteturas de Computadores ParalelosElaine Cecília Gatto
 
Exprsaõ logicas e tabela verdade exercicios
Exprsaõ logicas e tabela verdade exerciciosExprsaõ logicas e tabela verdade exercicios
Exprsaõ logicas e tabela verdade exerciciosJoãopedro Machado
 

Destacado (6)

Arquitetura de Computadores: Memórias - Nível lógico
Arquitetura de Computadores: Memórias - Nível lógicoArquitetura de Computadores: Memórias - Nível lógico
Arquitetura de Computadores: Memórias - Nível lógico
 
Circuitos integrados
Circuitos integradosCircuitos integrados
Circuitos integrados
 
343logic-design-lab-manual-10 esl38-3rd-sem-2011
343logic-design-lab-manual-10 esl38-3rd-sem-2011343logic-design-lab-manual-10 esl38-3rd-sem-2011
343logic-design-lab-manual-10 esl38-3rd-sem-2011
 
Circuitos Integrados Digitais
Circuitos Integrados DigitaisCircuitos Integrados Digitais
Circuitos Integrados Digitais
 
Arquiteturas de Computadores Paralelos
Arquiteturas de Computadores ParalelosArquiteturas de Computadores Paralelos
Arquiteturas de Computadores Paralelos
 
Exprsaõ logicas e tabela verdade exercicios
Exprsaõ logicas e tabela verdade exerciciosExprsaõ logicas e tabela verdade exercicios
Exprsaõ logicas e tabela verdade exercicios
 

Similar a BCD 8421 Código

História da criptografia
História da criptografiaHistória da criptografia
História da criptografiatiojoffre
 
Conceitos básicos de Software R
Conceitos básicos de Software RConceitos básicos de Software R
Conceitos básicos de Software RThais Amaral
 
Simulador Numérico Bidimensional para Escoamento Monofásico em Meios Porosos
Simulador Numérico Bidimensional para Escoamento Monofásico em Meios PorososSimulador Numérico Bidimensional para Escoamento Monofásico em Meios Porosos
Simulador Numérico Bidimensional para Escoamento Monofásico em Meios PorososBismarck Gomes
 
Programacao cpp
Programacao cppProgramacao cpp
Programacao cppTiago
 
Tcc Mauricio Bento Ghem 2009 - Versão Final
Tcc Mauricio Bento Ghem 2009 - Versão FinalTcc Mauricio Bento Ghem 2009 - Versão Final
Tcc Mauricio Bento Ghem 2009 - Versão Finalimpalador69
 
Tcc Mauricio Bento Ghem 2009 - Proposta de uma Ferramenta de Monitoramento de...
Tcc Mauricio Bento Ghem 2009 - Proposta de uma Ferramenta de Monitoramento de...Tcc Mauricio Bento Ghem 2009 - Proposta de uma Ferramenta de Monitoramento de...
Tcc Mauricio Bento Ghem 2009 - Proposta de uma Ferramenta de Monitoramento de...bentow
 
Caelum csharp-dotnet-fn13
Caelum csharp-dotnet-fn13Caelum csharp-dotnet-fn13
Caelum csharp-dotnet-fn13Moisés Moura
 
Apostila com limites e derivada
Apostila com limites e derivadaApostila com limites e derivada
Apostila com limites e derivadaWalmar de Paula
 
Algoritmos jabour
Algoritmos jabourAlgoritmos jabour
Algoritmos jabourfjabour
 
Apostila c# iniciantes
Apostila c# iniciantesApostila c# iniciantes
Apostila c# iniciantesCaique Moretto
 
Apostila de poo em c++
Apostila de poo em c++Apostila de poo em c++
Apostila de poo em c++Lindomar ...
 
Apostila de poo em c++
Apostila de poo em c++Apostila de poo em c++
Apostila de poo em c++Lindomar ...
 

Similar a BCD 8421 Código (20)

apostila Algoritmos.pdf
apostila Algoritmos.pdfapostila Algoritmos.pdf
apostila Algoritmos.pdf
 
Estrutura de dados
Estrutura de dadosEstrutura de dados
Estrutura de dados
 
História da criptografia
História da criptografiaHistória da criptografia
História da criptografia
 
Conceitos básicos de Software R
Conceitos básicos de Software RConceitos básicos de Software R
Conceitos básicos de Software R
 
Curso estatistica descritiva no r
Curso   estatistica descritiva no rCurso   estatistica descritiva no r
Curso estatistica descritiva no r
 
Simulador Numérico Bidimensional para Escoamento Monofásico em Meios Porosos
Simulador Numérico Bidimensional para Escoamento Monofásico em Meios PorososSimulador Numérico Bidimensional para Escoamento Monofásico em Meios Porosos
Simulador Numérico Bidimensional para Escoamento Monofásico em Meios Porosos
 
Programacao cpp
Programacao cppProgramacao cpp
Programacao cpp
 
Tcc Mauricio Bento Ghem 2009 - Versão Final
Tcc Mauricio Bento Ghem 2009 - Versão FinalTcc Mauricio Bento Ghem 2009 - Versão Final
Tcc Mauricio Bento Ghem 2009 - Versão Final
 
Tcc Mauricio Bento Ghem 2009 - Proposta de uma Ferramenta de Monitoramento de...
Tcc Mauricio Bento Ghem 2009 - Proposta de uma Ferramenta de Monitoramento de...Tcc Mauricio Bento Ghem 2009 - Proposta de uma Ferramenta de Monitoramento de...
Tcc Mauricio Bento Ghem 2009 - Proposta de uma Ferramenta de Monitoramento de...
 
Caelum csharp-dotnet-fn13
Caelum csharp-dotnet-fn13Caelum csharp-dotnet-fn13
Caelum csharp-dotnet-fn13
 
mech-course.pdf
mech-course.pdfmech-course.pdf
mech-course.pdf
 
Apostila com limites e derivada
Apostila com limites e derivadaApostila com limites e derivada
Apostila com limites e derivada
 
Análise bayesiana de decisões aspectos práticos
Análise bayesiana de decisões   aspectos práticosAnálise bayesiana de decisões   aspectos práticos
Análise bayesiana de decisões aspectos práticos
 
Algoritmos jabour
Algoritmos jabourAlgoritmos jabour
Algoritmos jabour
 
Apostila c# iniciantes
Apostila c# iniciantesApostila c# iniciantes
Apostila c# iniciantes
 
Apostila de poo em c++
Apostila de poo em c++Apostila de poo em c++
Apostila de poo em c++
 
Apostila de poo em c++
Apostila de poo em c++Apostila de poo em c++
Apostila de poo em c++
 
Dissertação
DissertaçãoDissertação
Dissertação
 
Apontamentos
ApontamentosApontamentos
Apontamentos
 
Vim
VimVim
Vim
 

BCD 8421 Código

  • 1. Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 03 Circuito Decodificador e Multiplex Prof. Takashi Utsonomiya ˜ Universidade Federal de Sao Carlos S˜o Carlos - SP a
  • 2. Sum´rio a 1 Resumo p. 4 2 Objetivos p. 5 3 Componentes p. 6 4 Introdu¸˜o Te´rica ca o p. 7 4.1 C´digos Bin´rios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . o a p. 7 4.1.1 Decimal Codificado em Bin´rio . . . . . . . . . . . . . . . . . . . a p. 7 4.1.2 C´digo BCD 8421 . . . . . . . . . . . . . . . . . . . . . . . . . . . o p. 8 4.1.3 Convers˜o Decimal para BCD . . . . . . . . . . . . . . . . . . . . a p. 9 4.1.4 Convers˜o BCD para Decimal . . . . . . . . . . . . . . . . . . . . a p. 11 4.2 Display de 7 segmentos . . . . . . . . . . . . . . . . . . . . . . . . . . . . p. 11 4.2.1 Decodificador para display de 7 segmentos . . . . . . . . . . . . . p. 13 4.3 Multiplexador . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . p. 16 4.3.1 Aplica¸oes de Mux . . . . . . . . . . . . . . . . . . . . . . . . . . c˜ p. 16 5 Procedimento Experimental p. 17 5.1 Primeira parte do Experimento . . . . . . . . . . . . . . . . . . . . . . . p. 17 5.2 Segunda Parte do Experimento . . . . . . . . . . . . . . . . . . . . . . . p. 21
  • 3. 6 Tarefas p. 26 6.1 Mapa de Karnaugh para todas as letras do Display de 7 Segmentos . . . p. 26 6.2 Display de 7 Segmentos com 2 D´ ıgitos . . . . . . . . . . . . . . . . . . . p. 28 6.3 Implementa¸ao de Subtrator Completo utilizando Multiplex . . . . . . . c˜ p. 29 6.3.1 Subtrator utilizando Mux 4x1 . . . . . . . . . . . . . . . . . . . . p. 29 7 Conclus˜es o p. 32 8 Bibliografia p. 33
  • 4. 4 1 Resumo No primeira parte do terceiro experimento da disciplina de Laboratorio de Circuitos Digitais, foi utilizado um decodificador de 7 segmentos - no caso, um decodificador 74LS48 - o qual convertia um numero em formato de codigo BCD, para uma saida que podia ser interpretada como um numero decimal, atraves de um display que acende seus filamentos. Quando combinados de diferentes maneiras, os filamentos exibiram numeros interpretados no codigo decimal.
  • 5. 5 2 Objetivos No terceiro experimento foram analisados o comportamento de um decodificador de 7 segmentos utilizando um componente (decodificador 74LS48) e um display (PHO500) de exibi¸ao de n´meros decimais. Na segunda parte do experimento, realizado uma semana c˜ u depois, foi analisado o comportamento de um multiplex (74LS153) e como implementar um somador completo utilizando este componente.
  • 6. 6 3 Componentes • Prot-o-board • Circuito integrado (74LS48) • Componente (display PHO500) • Fios • Alicate • Mult´ ımetro • Fonte de alimenta¸ao c˜
  • 7. 7 4 Introdu¸˜o Te´rica ca o 4.1 C´digos Bin´rios o a A convers˜o de um n´mero decimal no seu equivalente bin´rio ´ chamada codifica¸ao. a u a e c˜ Um n´mero decimal ´ expresso como um c´digo bin´rio ou n´mero bin´rio. O sistema u e o a u a num´rico bin´rio, como apresentado, ´ conhecido como c´digo bin´rio puro. Este nome o e a e o a diferencia de outros tipos de c´digos bin´rios. o a 4.1.1 Decimal Codificado em Bin´rio a O sistema num´rico decimal ´ f´cil de se usar devido ` familiaridade. J´ o sistema e e a a a num´rico bin´rio ´ menos conveniente de se usar, pois nos ´ menos familiar. . e a e e u a u ´ ıcil Por exemplo, o n´mero bin´rio 1010011 representa o n´mero decimal 83. E dif´ dizer imediatamente, por inspe¸ao do n´mero, qual seu valor decimal. Entretanto, em alguns c˜ u minutos, usando os procedimentos de convers˜o de base, pode-se calcular o seu valor deci- a mal. A quantidade de tempo necess´ria para converter ou reconhecer um n´mero bin´rio a u a ´ uma desvantagem em trabalhar com este c´digo, a despeito das numerosas vantagens do e o ponto de vista de “trabalhar com hardware”. Os engenheiros reconheceram este problema cedo, e desenvolveram uma forma especial de c´digo bin´rio mais compat´ com o sistema decimal. Como uma grande quantidade o a ıvel de dispositivos digitais, instrumentos e equipamentos usam entradas e sa´ ıdas decimais, este c´digo especial tornou-se muito difundido e utilizado. Esse c´digo especial ´ chamado o o e decimal codificado em bin´rio (BCD - binary coded decimal). O c´digo BCD combina a o algumas das caracter´ ısticas dos sistemas num´ricos bin´rio e decimais. e a
  • 8. 8 4.1.2 C´digo BCD 8421 o O c´digo BCD ´ um sistema de representa¸ao dos d´ o e c˜ ıgitos decimais de 0 a 9 com um c´digo bin´rio de 4 bits. Esse c´digo BCD usa o sistema de pesos posicionais 8421 do c´digo o a o o bin´rio puro. O usual c´digo 8421 BCD e os equivalentes decimais s˜o mostrados na tabela a o a abaixo, onde representamos os decimais de 0 a 9 (1 d´ ıgito). Exatamente como bin´rio puro, a pode-se converter os n´meros BCD em seus equivalentes decimais simplesmente somando u os pesos das posi¸oes de bits onde aparece 1. c˜ Decimal BCD 8421 Bin´rio a 0 0000 0000 1 0001 0001 2 0010 0010 3 0011 0011 4 0100 0100 5 0101 0101 6 0110 0110 7 0111 0111 8 1000 1000 9 1001 1001 Tabela 4.1: Decimal, BCD, Bin´rio a Observamos, entretanto, que existem apenas dez c´digos v´lidos. Os n´meros bin´rios o a u a de 4 bits representando os n´meros decimais desde 10 at´ 15 s˜o inv´lidos no sistema BCD. u e a a Para representar um n´mero decimal em nota¸˜o BCD substitui-se cada d´ u ca ıgito decimal pelo c´digo de 4 bits apropriados. o Por exemplo, o inteiro decimal 834 em BCD ´ 1000 0011 0100. Cada d´ e ıgito decimal ´ representado pelo seu c´digo BCD 8421 equivalente. Um espa¸o pode ser deixado entre e o c cada grupo de 4 bits para evitar confus˜o do formato BCD com o c´digo bin´rio puro. a o a Este m´todo de representa¸˜o tamb´m se aplica `s fra¸oes decimais. e ca e a c˜ Por exemplo, a fra¸˜o decimal 0,764 ´ “0.0111 0110 0100” em BCD. Novamente, cada ca e
  • 9. 9 d´ ıgito decimal ´ representado pelo seu c´digo equivalente 8421, com um espa¸o entre cada e o c grupo. Uma vantagem do c´digo BCD ´ que as dez combina¸oes do c´digo BCD s˜o f´ceis de o e c˜ o a a lembrar. Conforme se come¸a a trabalhar com n´meros bin´rios regularmente, os n´meros c u a u BCD tornam-se t˜o f´ceis e autom´ticos como n´meros decimais. Por esta raz˜o, por a a a u a simples inspe¸˜o da representa¸ao BCD de um n´mero decimal pode-se efetuar a convers˜o ca c˜ u a quase t˜o r´pido como se j´ estivesse na forma decimal. a a a O c´digo BCD simplifica a interface Homem-m´quina, mas ´ menos eficiente que o o a e c´digo bin´rio puro, pelo motivo de usar mais bits para representar um dado n´mero o a u decimal em BCD em rela¸ao ` representa¸ao em nota¸˜o bin´ria pura. c˜ a c˜ ca a Por exemplo, o n´mero decimal 83 ´ escrito como 1000 0011. Em c´digo bin´rio u e o a puro, usam-se apenas 7 bits para representar o n´mero 83. Em BCD, usam-se 8 bits. O u c´digo BCD ´ ineficiente, pois, para cada bit numa palavra de dado, h´ usualmente alguns o e a circuitos digitais associados. Os circuitos extras associados com o c´digo BCD aumentam o o pre¸o, a complexidade do equipamento e consomem mais energia. Opera¸˜es aritm´ticas c co e com n´meros BCD tamb´m consomem mais tempo e s˜o mais complexas que aquelas com u e a n´meros bin´rios puros. Com quatro bits de informa¸ao bin´ria, podemos representar um u a c˜ a total de 24 = 16 estados diferentes ou os n´meros decimais equivalentes desde o 0 ao 15. u No sistema BCD, seis destes estados (10-15) s˜o “desperdi¸ados”. a c Quando o sistema num´rico BCD ´ usado, alguma eficiˆncia ´ perdida, mas aumenta-se e e e e o entendimento entre o equipamento digital e o operador humano. 4.1.3 Convers˜o Decimal para BCD a A convers˜o de decimal para BCD ´ simples e direta. Entretanto, a convers˜o de a e a bin´rio para BCD n˜o ´ direta. Uma convers˜o intermedi´ria deve ser realizada primeiro. a a e a a Por exemplo, o n´mero 1011.01 ´ convertido no seu equivalente BCD. u e Primeiro o n´mero bin´rio ´ convertido para decimal. 1011.012 = (1x23 ) + (0x22 ) + u a e (1x21 ) + (1x20 ) + (0x2−1 ) + (1x2−2 ) = 8 + 0 + 2 + 1 + 0 + 0, 25 = 11, 2510 Ent˜o o resultado decimal ´ convertido para BCD. 11, 2510 = 00010001.001001012 a e
  • 10. 10 Para converter de BCD para bin´rio, as opera¸oes anteriores s˜o invertidas. Por exem- a c˜ a plo, o n´mero BCD 1001 0110.0110 0010 0101 ´ convertido no seu equivalente bin´rio. u e a 1. o n´mero BCD ´ convertido para decimal. 1001 0110.0110 0010 0101 = 96,625 u e 2. o resultado decimal ´ convertido para bin´rio e a V´rios c´digos bin´rios s˜o chamados c´digos alfanum´ricos pois eles s˜o usados para a o a a o e a representar caracteres assim como n´meros. u Figura 4.1: Codificador decimal para BCD Figura 4.2: Esquema interno do codificador
  • 11. 11 4.1.4 Convers˜o BCD para Decimal a ´ E o contr´rio do processo de convers˜o de Decimal para BCD a a Figura 4.3: Esquema interno do codificador 4.2 Display de 7 segmentos Um display de 7 segmentos ´ um dispositivo eletrˆnico bastante usado para indica¸ao e o c˜ de valores num´ricos. Ele mostra ao usu´rio de um sistema digital um algarismo decimal e a de 0 a 9, conforme a figura abaixo:
  • 12. 12 Figura 4.4: Formato de um display de 7 segmentos mostrando a localiza¸˜o de cada um ca dos segmentos (a, b, c, d, e, f, g) Desde que ele pode indicar d´ ıgitos de 0 a 9 (10 no total), a informa¸˜o bin´ria precisa ca a ter 4 d´ ıgitos bin´rios, pois com apenas 3, s´ 8 valores poderiam ser exibidos. a o Os displays de 7 segmentos podem ser do tipo anodo comum, onde todos os ˆnodos ˆ a s˜o ligados juntos (fig. 4.5), ou do tipo c´todo comum, onde todos os c´todos s˜o ligados a a a a juntos (fig. 4.6). Com o tipo de ˆnodo comum da figura 4.5, deve-se ligar um resistor a limitador de corrente entre cada LED e o terra. O valor desse resistor determina quanta corrente flue atrav´s do LED (a corrente t´ e ıpica do LED est´ entre 1mA e 50mA) O tipo a c´todo comum da figura 4.6 usa um resistor limitador de corrente entre cada LED e +Vcc. a ˆ Figura 4.5: Anodo comum
  • 13. 13 Figura 4.6: C´todo comum a 4.2.1 Decodificador para display de 7 segmentos Deve-se saber que a informa¸˜o bin´ria n˜o tem necessariamente rela¸ao com o n´mero ca a a c˜ u bin´rio que ela representa. Por exemplo, para a combina¸ao 0 – da tabela mostrada abaixo a c˜ – a b c d e f g ficam 1111110. Esse n´mero bin´rio n˜o ´ igual ao d´ u a a e ıgito correspondente no display (que seria o 0). Isto ´, na realidade, um c´digo para o display de sete segmentos. e o O circuito l´gico que converte a entrada para o c´digo ´ chamado decodificador. A o o e pr´pria entrada de 4 bits ABCD, que tem rela¸ao direta com o valor decimal, ´ tamb´m o c˜ e e chamada de c´digo BCD. (tabela ABCDEFG). o Um decodificador para display de 7 segmentos ´ um circuito digital formado por por- e tas l´gicas que, ao receber uma palavra bin´ria de 4 bits representativa do algarismo a o a ser mostrado, aciona os segmentos correspondentes no display, conforme mostra a figura abaixo: Figura 4.7: Interliga¸˜o de um decodificador para display de 7 segmentos com o display ca
  • 14. 14 H´ dois tipos de decodificadores correspondendo aos displays de anodo comum e c´todo a ˆ a comum. Cada decodificador tem 4 pinos de entrada (entrada em c´digo BCD) e 7 pinos o de sa´ (os segmentos de A a G). ıda A figura 4.8 mostra um 7446 acionando um indicador de ˆnodo comum. Os circuitos a l´gicos internos do 7446 convertem a entrada BCD para a sa´ necess´ria. Por exemplo, se o ıda a a entrada BCD ´ 0111, a l´gica interna do 7446 ir´ for¸ar os LED’s a, b, e c a conduzirem, e o a c porque os correspondentes trans´ ıstores entram em satura¸ao. Como resultado, o d´ c˜ ıgito 7 aparecer´ no display de 7 segmentos. a Figura 4.8: Decodificador-acionador 7446 acionando um indicador de ˆnodo comum a Observe os resistores limitadores de corrente entre o display de 7 segmentos e o 7446 da figura 4.8. Deve-se ligar esses resistores externos para limitar a corrente em cada segmento
  • 15. 15 a um valor seguro entre 1mA e 50mA, dependendo da intensidade do brilho que desejamos que o display apresente. A figura 4.9 mostra a decodifica¸ao alternativa. Nela, um 7448 aciona um indicador de c˜ c´todo comum. Novamente, a l´gica converte a entrada BCD para a sa´ necess´ria. Por a o ıda a exemplo, quando ´ usada uma entrada BCD igual a 0100, a l´gica interna for¸a os LED’s e o c b, c, f e g a conduzirem. O display de 7 segmentos mostra, ent˜o, o n´mero decimal 4. a u Ao contr´rio do 7446, que necessita resistores limitadores de corrente externos, o 7448 tem a seus pr´prios resistores limitadores de corrente na pastilha. o Figura 4.9: Decodificador 7448 acionando um c´todo comum a
  • 16. 16 4.3 Multiplexador Basicamente, o multiplexador (tamb´m conhecido por Mux) ´ composto por v´rias e e a linhas de entrada e uma unica linha de sa´ ´ ıda, e entre eles uma chave que varia a entrada ocasionando v´rias respostas. Cada entrada tem seu n´ l´gico ou sinal pr´prio. A chave a ıvel o o ´ control´vel, sendo assim o controle determina qual ser´ a linha de entrada escolhida. e a a Pode-se implementar um multiplexador com portas l´gicas, tendo em vista que atrav´s da o e chave se habilita ou n˜o uma porta. O n´mero de entradas sempre equivale a 2n, no qual a u n ´ o n´mero de chaves do Mux. e u Figura 4.10: Exemplo de Mux (8x1) Um multiplexador pode se comportar como um gerador de fun¸˜es, pois tendo v´rias co a entradas, n´s podemos colocar v´rias fun¸˜es, uma em cada linha, assim alternando a chave o a co em fun¸˜o do tempo, n´s conseguir´ ca o ıamos ter fun¸oes espec´ c˜ ıficas, de acordo com a entrada. 4.3.1 Aplica¸˜es de Mux co Na vida real, observamos v´rias situa¸˜es an´logas ao multiplexador. Entre eles est˜o a co a a o sem´foro de 3 tempos, que seleciona quem deve passar a cada instante. V´rias filas de a a carros que se afunilam para atravessar uma ponte onde s´ ´ poss´ passar um carro por oe ıvel vez.
  • 17. 17 5 Procedimento Experimental 5.1 Primeira parte do Experimento Nos foi explicado o funcionamento b´sico de um display de 7 segmentos. Ele pediu-nos a que coloc´ssemos o circuito integrado 74LS48 na prot-o-board. Assim, implementamos o a circuito esquematizado abaixo: Figura 5.1: Circuito integrado 74LS48 • B, C, D, A correspondem as entradas do n´mero BCD (bin´rios de 0 a 9) ` u a • F, g, a, b ,c, d, e correspondem aos segmentos do display em catodo comum
  • 18. 18 • RBI (Ripple-blanking input) quando em n´ baixo, indica que o zero ´ suprimido. ıvel e Utilizado para a representa¸ao de n´meros com casas decimais (casas depois do ponto c˜ u do PHO500) • RBO /BI (Ripple-blanking output)/ (Blanking input) pode ser interpretado como a sa´ RBO ou como a entrada BI. Como pino de sa´ ıda ıda, indica, quando em n´ ıvel baixo, que o d´ ıgito atual teve um zero suprimido. Se este pino estiver em n´ baixo, ıvel todos os segmentos ser˜o apagados a • LT, denominado Light Test, testa se os filamentos est˜o funcionando corretamente. a Quando seu sinal ´ baixo, todas as sa´ e ıdas s˜o altas (os LEDs acendem) a
  • 19. 19 Entradas BI/ Sa´ ıdas LT RBI D C B A RBO a b c d e f g 0 H H L L L L H H H H H H H L 1 H X L L L H H L H H L L L L 2 H X L L H L H H H L H H L H 3 H X L L H H H H H H H L L H 4 H X L H L L H L H H L L H H 5 H X L H L H H H L H H L H H 6 H X L H H L H L L H H H H H 7 H X L H H H H H H H L L L L 8 H X H L L L H H H H H H H H 9 H X H L L H H H H H L L H H 10 H X H L H L H L L L H H L H 11 H X H L H H H L L H H L L H 12 H X H H L L H L H L L L H H 13 H X H H L H H H L L H L H H 14 H X H H H L H L L L H H H H 15 H X H H H H H L L L L L L L BI H H X X X L L L L L L L L L RBI H L L L L H L L L L L L L L LT L X X X X H H H H H H H H H Tabela 5.1: Tabela de entradas e sa´ ıdas (H: n´ alto, L: n´ baixo, X: irrelevante) ıvel ıvel Iniciamos o experimento com o decodificador de 7 segmentos, utilizando como sa´ os ıda LEDs da prot-o-board para testar as sa´ ıdas. A partir disso, ´ testado o display. e Apresentamos o resultado ao professor e explicamos as propriedades acima. O pr´ximo o passo foi o estudo do display de 7 segmentos (circuito integrado PHO500), que tamb´m foi e inclu´ em nossa prot-o-board, como esquematizado a seguir: ıdo
  • 20. 20 Figura 5.2: Implementa¸˜o do display de 7 segmentos ca Para que as sa´ ıdas do display (a, b, c, d, e) possam ser ativadas, s˜o necess´rios que a a RBI, LT e BI/RBO estejam em Vcc. Mostramos ao professor todas as numera¸˜es que tal display podia exibir (0 a 9) co alternando-se as chaves B, C, D, A. A tabela abaixo mostra as combina¸oes necess´rias para gerar os d´ c˜ a ıgitos decimais de 0 a 9 dos filamentos do display.
  • 21. 21 Decimal a b c d e f g 0 1 1 1 1 1 1 0 1 0 1 1 0 0 0 0 2 1 1 0 1 1 0 1 3 1 1 1 1 0 0 1 4 0 1 1 0 0 1 1 5 1 0 1 1 0 1 1 6 1 0 1 1 1 1 1 7 1 1 1 0 0 0 0 8 1 1 1 1 1 1 1 9 1 1 1 1 0 1 1 Tabela 5.2: Display de 7 segmentos (0: filamento apagado, 1: filamento aceso) 5.2 Segunda Parte do Experimento A segunda parte do experimento descrito neste relat´rio refere-se ao Multiplexador e o suas propriedades. O professor explicou-nos os fundamentos de um multiplexador e suas aplica¸˜es. Foi- co nos entregue o circuito integrado 74LS153 (Multiplexador) com a finalidade de testar o seu funcionamento correto. Analizamos seu funcionamento e verificamos que o Strobe, localizado no pino 1, tem a fun¸˜o de ativar ou desativar o multiplex. Isso pode ser ca verificado na tabela abaixo, uma vez que, quando o n´ do Strobe ´ alto, a entrada de ıvel e dados e a sele¸ao de entradas torna-se irrelevante, e a sa´ de dados tem sempre n´ c˜ ıda ıvel baixo.
  • 22. 22 Figura 5.3: Circuito integrado 74LS153 Sele¸˜o de entradas ca Entrada de dados Strobe Sa´ de dados ıda B A C3 C2 C1 C0 G Y X X X X X X H L L L X X X L L L L L X X X H L H L H X X L X L L L H X X H X L H H L X L X X L L H L X H X X L H H H L X X X L L H H H X X X L H Tabela 5.3: Tabela de entradas e sa´ ıdas (H: 5V, L: 0V, X: irrelevante) Apresentamos os resultados ao professor e discutimos a propriedade do Strobe, citada acima. A pr´xima etapa foi a implemente¸ao de um somador utilizando um multiplex e uma o c˜ porta inversora (74LS04).
  • 23. 23 Soma = A XOR B XOR Vem-Um Vem-um / BA 0 1 11 10 0 0 1 0 1 1 0 0 1 0 Tabela 5.4: Mapa de Karnaugh para Soma Vai-Um = A.B + A.Vem-Um + B.Vem-Um Vem-um / BA 0 1 11 10 0 0 0 1 0 1 0 1 1 1 Tabela 5.5: Mapa de Karnaugh para o Vai-Um Entradas Sa´ ıdas B A Vem-Um Soma Vai-Um 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 Tabela 5.6: Entradas e sa´ ıdas Soma : 1C0 = 1C3 = Vem Um 1C1 = 1C2 = Vem Um Barrado Vai Um = 2C0 = 0V 2C1 = 2C2 = Vem Um
  • 24. 24 2C3 = VCC Analisando a tabela verdade, pode-se observar que as entradas A e B mantˆm os e mesmos valores quando o Vem-Um ´ alterado. Logo, ´ poss´ impor o Vem-Um como a e e ıvel entrada dos multiplexadores, as entradas A e B como controle, e, assim, obtemos as sa´ ıdas do multiplex. O esquema abaixo mostra um somador completo simplificado utilizando dois multiple- xadores: Figura 5.4: Somador com 2 multiplex
  • 25. 25 A implementa¸˜o do somador utilizando dois multiplexadores e uma porta inversora ca foi feita de acordo com o esquema abaixo: Figura 5.5: Diagrama esquem´tico do somador utilizando 2 multiplex a
  • 26. 26 6 Tarefas 6.1 Mapa de Karnaugh para todas as letras do Dis- play de 7 Segmentos A(D, C, B, A) = (C’+ B + A) . (D + C + B + A) BA/DC 00 01 11 10 00 1 0 x 1 01 0 1 x 1 11 1 1 x x 10 1 1 x x Tabela 6.1: Mapa para a letra a B(D, C, B, A) = (C’+ B + A) . (C’ + B’ + A) BA/DC 00 01 11 10 00 1 1 x 1 01 1 0 x 1 11 1 1 x x 10 1 0 x x Tabela 6.2: Mapa para a letra b
  • 27. 27 C(D, C, B, A) = (C’+ B + A) . (B’ + A) BA/DC 00 01 11 10 00 1 1 x 1 01 1 0 x 1 11 1 1 x x 10 0 0 x x Tabela 6.3: Mapa para a letra c D(D, C, B, A) = (C’ + A + B) . (C + B + A’) . (C’ + B’ + A’) . (D’ + A’) BA/DC 00 01 11 10 00 1 0 x 1 01 0 1 x 0 11 1 0 x x 10 1 1 x x Tabela 6.4: Mapa para a letra d E(D, C, B, A) = (C’ + B) . (D + A’) . (D’ + A’) BA/DC 00 01 11 10 00 1 0 x 1 01 0 x 0 0 11 0 x x x 10 1 1 x x Tabela 6.5: Mapa para a letra e
  • 28. 28 F(D, C, B, A) = (D + C + A’) . (D + C + B’) . (B’ + A’) BA/DC 00 01 11 10 00 1 1 x 1 01 0 1 x 1 11 0 0 x x 10 0 1 x x Tabela 6.6: Mapa para a letra f 6.2 Display de 7 Segmentos com 2 D´ ıgitos Para realizarmos tal tarefa, basta dispormos de 4 componentes: 2 circuitos 74LS48 e mais 2 circuitos PHO500. Como queremos exibir 2 d´ ıgitos, o display da esquerda (dezenas) n˜o poder´ exibir o n´mero 0. Para tanto, acrescentarmos uma porta NOT a entrada BI’. a a u ` Figura 6.1: Display da esquerda
  • 29. 29 Figura 6.2: Display da direita 6.3 Implementa¸˜o de Subtrator Completo utilizando ca Multiplex 6.3.1 Subtrator utilizando Mux 4x1 Para produzir um subtrator completo a partir de multiplexadores, devemos primeira- mente analisar a tabela verdade deste.
  • 30. 30 B A Empresta-Um Subtra¸˜o Deve-Um ca 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 Tabela 6.7: Tabela-verdade da opera¸˜o subtra¸ao ca c˜ Separamos ent˜o a coluna do empresta-um e agrupamos em pares, comparando os pares a de sa´ com os do empresta-um, como a seguir. ıda B A Empresta-Um Subtra¸˜o Deve-Um ca 0 0 0 0 (E-1) 0 (E-1) 0 0 1 1 1 0 1 0 1 (E-1)’ 1 (1) 0 1 1 0 1 1 0 0 1 (E-1)’ 0 (0) 1 0 1 0 0 1 1 0 0 (E-1) 0 (E-1) 1 1 1 1 1 Verificamos que as entradas s˜o as seguintes: a • Empresta-um: 1E0, 1E3, 2E0, 2E3 • Empresta-um’: 1E1, 1E2 • Vcc: 2E1
  • 31. 31 • GND: 2E2 A partir disso, montamos o seguinte esquema do circuito: Figura 6.3: Subtrator utilizando Mux (4x1)
  • 32. 32 7 Conclus˜es o Os objetivos do experimento em quest˜o foram alcan¸ados. Al´m de estudarmos o a c e c´digo BCD, efetuamos a sua implementa¸ao e percebemos que o seu funcionamento ´ mais o c˜ e intuitivo em rela¸ao ao c´digo bin´rio, o que facilita a intera¸ao homem-m´quina. O c´digo c˜ o a c˜ a o BCD foi decodificado para o display de 7 segmentos e pudemos verificar o funcionamento deste dispositivo. Vimos, tamb´m, as diversas aplica¸oes de um multiplex em nossas vidas. O multiplex e c˜ tamb´m facilitou a implementa¸ao de um somador completo, j´ estudado anteriormente. e c˜ a Tal fato tamb´m se verificou ao subtrator. e
  • 33. 33 8 Bibliografia • MALVINO e LEACH. Eletrˆnica Digital: Princ´ o ıpios e Aplica¸oes. c˜ • TOCCI, WIDMER E MOSS. Sistemas Digitais: Princ´ ıpios e Aplica¸˜es. co • www.ee.pucrs.br • www2.eletronica.org • www.inf.ufrgs.br