SlideShare una empresa de Scribd logo
1 de 22
Descargar para leer sin conexión
Introduction to 
Phase Locked Loop 
(PLL) 
DIGITAVID, Inc. 
Ahmed Abu-Hajar, Ph.D. 
abuhajar@digitavid.net
Presentation Outline 
 What is Phase Locked Loop (PLL) 
 Basic PLL System 
 Problem of Lock Acquisition 
 Phase/Frequency Detector (PFD) 
 Charge Pump PLL 
 Application of PLL
What is Phase Locked Loop (PLL) 
 PLL is an Electronic Module (Circuit) that 
locks the phase of the output to the input. 
Vi(t) Phase Locked 
Vo(t) 
Loop
Locked Vs. Unlocked Phase 
 Example of locked phase 
Vi(t) 
Vo(t) 
 Example of unlocked phase 
Vo(t) 
Vi(t) 
Phase Error 
( )
Basic PLL System 
 PLL is a feedback system that detects the phase error  
and then adjusts the phase of the output. 
Vi(t) Vo(t) 
 The Phase Detector (PD), detects  between the output 
and the input through feedback system 
 Voltage Control Oscillator (VCO) adjusts the phase 
difference 
Phase Locked 
Loop 
Phase 
Detector 
VCO Vo 
VI
Implementation of PD 
Phase Detector is an XOR gate 
Phase 
Detector 
VCO Vo 
VI 
V  1 
 
Vo 
1 
0 
V V 
I o 
V V 
I o 
j 
 ¹ 
D =  
 = 
Vo(t) 
Vi(t) 
Phase Error 
( )
What is VCO ? 
 VCO is a circuit module that oscillates at a 
controlled frequency . 
 The Oscillating Frequency is controlled using 
Voltage VControl. 
– That is why the module is called 
 Voltage Control Oscillator 
VControl  
VCO 
w =w + K V 
 Vcontrol must be in the steady state for the VCO to 
operate properly 
 
0 
VControl 
o VCO Control
Simple PLL 
 Structure 
– Phase Detector ( XOR ) that detects the phase error  
– Low Pass Filter ( to smooth  ) 
– Voltage Control Oscillator (VCO) 
 Basic Idea 
– If VI and Vout are out of phase (unlocked), then the PD module 
detects the error and the LPF smoothes the error signal. The 
control signal slows down or speeds up the VCO module; hence, 
the phase is corrected (locked) 
Phase Vout 
VCO 
Detector 
VI 
 
Vout 
LPF VControl
Locked Condition 
– Locked Condition 
( ) 0 in out 
– This implies that 
Phase Vout 
VCO 
Detector 
VI 
 
Vout 
LPF VControl 
 
d 
dt 
j −j = 
in out w =w
Example: In the UNLOCKED State 
VI and Vout has  at the same 
frequency 1 
 The phase detector must 
produce VI 
 Hence, VCO is dynamically 
changing and PD is creating 
VControl to adjust for the phase 
difference. 
 The PLL is in the Locked state 
Vi(t) 
Vo(t) 
Phase Error 
( ) 
VControl 
 
1 
0 
VControl 
V1 
VControl 
V1 
0
In the UNLOCKED State 
 For Simplicity and by using Fourier Series 
 Let 
V =V w t ( ) 1 cos out B o 
( ) 1 cos I A 
V =V w t +j 
 Due to , PD creates Vcontrol 
 VCO will change 
w =w + K V 
out 1 VCO Control 
 The output voltage becomes 
( ) 1 cos ( ) out B o 
V =V w t +j − Dj t
Dynamics of Simple PLL 
 PLL is a feedback system 
– PD is a gain amplifier 
– LPF be first order filter ( as an example) 
– VCO is a unit step module 
 The transfer function of the feedback system is given as: 
w w 
w Vw w 
F 
= = = 
out out n 
H s s s 
F + + 2 
PD 
VCO 
s s 
LPF 
in K 
out KVCO 
PD 
s 
1 
1 
s 
w 
LPF 
+ 
2 
2 2 
( ) ( ) ( ) 
2 
in in n n 
K K 
w 
( ) PD VCO LPF 
w w 
LPF PD VCO LPF 
H s 
s s K K 
= 
+ +
Transient Response to PLL 
 The unit step response to second order system 
– Overdamped 
– Critically damped 
– Underdamped 
 Problems with this PLL 
– Settling time Vs. ripple of Vcontor 
– Stability of the system 
– Lacks performance in ICs 
F 
= = = 
w w 
w Vw w 
out out n 
H s s s 
F + + 
PD 
s s 
VCO 
LPF 
in K 
out KVCO 
PD 
s 
1 
1 
s 
w 
LPF 
+ 
2 
2 2 
( ) ( ) ( ) 
2 
in in n n 
t 
i 
out 
t
Problem of Lock Acquisition 
 When PLL is turned on, the output frequency is far from 
the input frequency 
 It is possible that the PLL would never lock 
 Modern PLL uses FREQUENCY DEDECTOR (FD) in 
addition to the PD. 
VCO 
LPF1 
LPF2 
PD 
FD 
Vout 
out 
Vin 
in
Phase/Frequency Detector (PFD) 
 One Module that detects both frequency and phase differences 
 This module senses the transition in A or B 
A B QA QB 
Initially 0 0 0 0 
A leads B 0  1 0 0 0  1 0  0 
XX 0 1 1  0 0  0 
A B QA QB 
Initially 0 0 0 0 
B leads A 0  0 0 1 0  0 0  1 
0 1 XX 0  0 0  0 
A PFD 
B 
 If A leads B, QA changes its state and QB remains unchanged 
 If B leads A, QB changes its state and QA remains unchanged 
QA 
QB 
A 
B 
QB 
QA 
A 
B 
QB 
QA
Hardware Implementation of PFD 
 Uses two Edge Triggering modules 
using D-FF 
 If A leads to “1” QA = “1” 
– When B becomes “1”, QB = “1” 
momentarily 
– The AND gate RESETs Both to Qs “0” 
 If B leads to “1” QB = “1” 
– When A becomes “1”, QA = “1” 
momentarily 
– The AND gate RESETs Both to Qs “0” 
VDD 
A 
QA 
QB 
D 
CK 
Q 
D 
CK 
Q 
VDD 
B
Hardware Implementation of PFD 
VDD 
A 
QA 
QB 
D 
CK 
Q 
D 
CK 
Q 
VDD 
B 
RES 
A 
B 
QB 
QA 
RES 
A 
B 
QB 
QA 
RES 
The Vout is the average of (QA – QB) 
is used to detect the phase and the 
frequency difference
The Basic Block diagram 
 Structure 
– PFD 
– LPF 
– Differential 
Amplifier 
– VCO 
– Negative Feedback 
 Disadvantage: 
Sensitive to noise 
and offset voltages, 
ripple Vcontrol, .. 
 Use Charge Pump 
PLL 
D 
CK 
Q 
D 
CK 
Q 
VDD 
Vin 
in 
in 
VDD 
QA 
QB 
Vout 
out 
out 
VCO
Charge Pump PLL 
 Structure 
– PFD 
– Two switches 
controlled by QA 
and QB 
– Capacitor 
dV 
= 
I C 
d t 
dV I 
= 
– VCO 
– Negative Feedback 
– It charges or 
discharges the 
capacitor 
indefinitely 
D 
CK 
Q 
D 
CK 
Q 
VDD 
Vin 
in 
in 
VDD 
QA 
QB 
Vout 
out 
out 
VCO 
VDD 
C 
C 
C C 
d t C
Charge Pump PLL 
 The capacitor is replaced 
with a LPF (Cp and Rp) to 
improve the phase margin 
for stability 
 The transfer function of the 
system is approximated as 
follows: 
P VCO 
P P 
P 
+ 
P P VCO 
VCO P VCO 
 Rp slows down the system 
D 
CK 
Q 
D 
CK 
Q 
VDD 
Vin 
in 
in 
VDD 
QA 
QB 
Vout 
out 
out 
VCO 
VDD 
CP 
RP 
( ) 
2 
1 
2 
( ) 
2 2 
P 
I K 
R C s 
C 
H s 
I I K 
s K R s K 
C 
p 
p p 
= 
+ +
Application of PLL 
 Frequency Multiplications 
– The feedback loop has frequency division 
– Frequency division is implemented using a counter 
Clock Skew Reduction 
PFD Vout 
Buffers are used to distribute 
the clock 
Embed the buffer within the loop 
VCO 
VI 
 
LPF VControl 
 
Counter 
(Frequency 
Division)
Application of PLL 
 Clock Skew Reduction 
– Buffers are used to distribute the clock 
– Embed the buffer within the loop 
PFD Vout 
 Jitter Reduction 
VCO 
VI 
 
Vout 
LPF VControl 
 
Buffer

Más contenido relacionado

La actualidad más candente

Digital modulation techniques
Digital modulation techniquesDigital modulation techniques
Digital modulation techniquessrkrishna341
 
Phase Locked Loops (PLL) 1
Phase Locked Loops (PLL) 1Phase Locked Loops (PLL) 1
Phase Locked Loops (PLL) 1Pei-Che Chang
 
Special technique in Low Power VLSI design
Special technique in Low Power VLSI designSpecial technique in Low Power VLSI design
Special technique in Low Power VLSI designshrutishreya14
 
FM demodulation using PLL
FM demodulation using PLLFM demodulation using PLL
FM demodulation using PLLmpsrekha83
 
(S.C.E.T) Appliction of pll fm demodulation fsk demodulation
(S.C.E.T) Appliction of pll fm demodulation fsk demodulation(S.C.E.T) Appliction of pll fm demodulation fsk demodulation
(S.C.E.T) Appliction of pll fm demodulation fsk demodulationChirag vasava
 
RF Module Design - [Chapter 8] Phase-Locked Loops
RF Module Design - [Chapter 8] Phase-Locked LoopsRF Module Design - [Chapter 8] Phase-Locked Loops
RF Module Design - [Chapter 8] Phase-Locked LoopsSimen Li
 
PLL & DLL DESIGN IN SIMULINK MATLAB
PLL & DLL DESIGN IN SIMULINK MATLABPLL & DLL DESIGN IN SIMULINK MATLAB
PLL & DLL DESIGN IN SIMULINK MATLABkartik pal
 
7.Active Filters using Opamp
7.Active Filters using Opamp7.Active Filters using Opamp
7.Active Filters using OpampINDIAN NAVY
 
Fir filter design (windowing technique)
Fir filter design (windowing technique)Fir filter design (windowing technique)
Fir filter design (windowing technique)Bin Biny Bino
 
TTL Driving CMOS - Digital Electronic Presentation ALA 2018
TTL Driving CMOS - Digital Electronic Presentation ALA 2018TTL Driving CMOS - Digital Electronic Presentation ALA 2018
TTL Driving CMOS - Digital Electronic Presentation ALA 2018Mr. RahüL YøGi
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 

La actualidad más candente (20)

PLL Note
PLL NotePLL Note
PLL Note
 
Pll
PllPll
Pll
 
Charged pump plls
Charged pump pllsCharged pump plls
Charged pump plls
 
Digital modulation techniques
Digital modulation techniquesDigital modulation techniques
Digital modulation techniques
 
Phase locked loop
Phase locked loopPhase locked loop
Phase locked loop
 
Phase Locked Loops (PLL) 1
Phase Locked Loops (PLL) 1Phase Locked Loops (PLL) 1
Phase Locked Loops (PLL) 1
 
Special technique in Low Power VLSI design
Special technique in Low Power VLSI designSpecial technique in Low Power VLSI design
Special technique in Low Power VLSI design
 
FM demodulation using PLL
FM demodulation using PLLFM demodulation using PLL
FM demodulation using PLL
 
(S.C.E.T) Appliction of pll fm demodulation fsk demodulation
(S.C.E.T) Appliction of pll fm demodulation fsk demodulation(S.C.E.T) Appliction of pll fm demodulation fsk demodulation
(S.C.E.T) Appliction of pll fm demodulation fsk demodulation
 
RF Module Design - [Chapter 8] Phase-Locked Loops
RF Module Design - [Chapter 8] Phase-Locked LoopsRF Module Design - [Chapter 8] Phase-Locked Loops
RF Module Design - [Chapter 8] Phase-Locked Loops
 
PLL & DLL DESIGN IN SIMULINK MATLAB
PLL & DLL DESIGN IN SIMULINK MATLABPLL & DLL DESIGN IN SIMULINK MATLAB
PLL & DLL DESIGN IN SIMULINK MATLAB
 
Phase locked loop
Phase locked loopPhase locked loop
Phase locked loop
 
Phase Noise and Jitter Measurements
Phase Noise and Jitter MeasurementsPhase Noise and Jitter Measurements
Phase Noise and Jitter Measurements
 
Active filters
Active filtersActive filters
Active filters
 
7.Active Filters using Opamp
7.Active Filters using Opamp7.Active Filters using Opamp
7.Active Filters using Opamp
 
Low noise amplifier
Low noise amplifierLow noise amplifier
Low noise amplifier
 
Fir filter design (windowing technique)
Fir filter design (windowing technique)Fir filter design (windowing technique)
Fir filter design (windowing technique)
 
TTL Driving CMOS - Digital Electronic Presentation ALA 2018
TTL Driving CMOS - Digital Electronic Presentation ALA 2018TTL Driving CMOS - Digital Electronic Presentation ALA 2018
TTL Driving CMOS - Digital Electronic Presentation ALA 2018
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 

Destacado

Phase lock loop (pll)
Phase lock loop (pll)Phase lock loop (pll)
Phase lock loop (pll)sulaim_qais
 
Ee443 phase locked loop - presentation - schwappach and brandy
Ee443   phase locked loop - presentation - schwappach and brandyEe443   phase locked loop - presentation - schwappach and brandy
Ee443 phase locked loop - presentation - schwappach and brandyLoren Schwappach
 
Pll carrier synch f-ling_v1.2
Pll carrier synch f-ling_v1.2Pll carrier synch f-ling_v1.2
Pll carrier synch f-ling_v1.2Fuyun Ling
 
MSK 200 Digital Transport Stream Analyzer
MSK 200 Digital Transport Stream AnalyzerMSK 200 Digital Transport Stream Analyzer
MSK 200 Digital Transport Stream Analyzeremzach1831
 
PHASE LOCKED LOOP FOR GSM 900
PHASE LOCKED LOOP FOR  GSM 900PHASE LOCKED LOOP FOR  GSM 900
PHASE LOCKED LOOP FOR GSM 900chirag2003
 
Phase Locked Loop with Filter Banks for High Data Rate Satellite Link
Phase Locked Loop with Filter Banks for High Data Rate Satellite LinkPhase Locked Loop with Filter Banks for High Data Rate Satellite Link
Phase Locked Loop with Filter Banks for High Data Rate Satellite Linkchiragwarty
 
Ee443 phase locked loop - paper - schwappach and brandy
Ee443   phase locked loop - paper - schwappach and brandyEe443   phase locked loop - paper - schwappach and brandy
Ee443 phase locked loop - paper - schwappach and brandyLoren Schwappach
 
Directed decision phase locked loop
Directed decision phase locked loopDirected decision phase locked loop
Directed decision phase locked loopHitham Jleed
 
Phase lockedLoop
Phase lockedLoopPhase lockedLoop
Phase lockedLoopNasir Ihsan
 
Energy and area efficient three input xor xno rs with systematic cell design...
Energy and area efficient three input xor  xno rs with systematic cell design...Energy and area efficient three input xor  xno rs with systematic cell design...
Energy and area efficient three input xor xno rs with systematic cell design...LogicMindtech Nologies
 

Destacado (16)

Phase lock loop (pll)
Phase lock loop (pll)Phase lock loop (pll)
Phase lock loop (pll)
 
Pll
PllPll
Pll
 
Ee443 phase locked loop - presentation - schwappach and brandy
Ee443   phase locked loop - presentation - schwappach and brandyEe443   phase locked loop - presentation - schwappach and brandy
Ee443 phase locked loop - presentation - schwappach and brandy
 
Pll Basic
Pll BasicPll Basic
Pll Basic
 
Non ideal effects of pll
Non ideal effects of pllNon ideal effects of pll
Non ideal effects of pll
 
DPLL PRESENTATION
DPLL PRESENTATIONDPLL PRESENTATION
DPLL PRESENTATION
 
Pll carrier synch f-ling_v1.2
Pll carrier synch f-ling_v1.2Pll carrier synch f-ling_v1.2
Pll carrier synch f-ling_v1.2
 
MSK 200 Digital Transport Stream Analyzer
MSK 200 Digital Transport Stream AnalyzerMSK 200 Digital Transport Stream Analyzer
MSK 200 Digital Transport Stream Analyzer
 
PHASE LOCKED LOOP FOR GSM 900
PHASE LOCKED LOOP FOR  GSM 900PHASE LOCKED LOOP FOR  GSM 900
PHASE LOCKED LOOP FOR GSM 900
 
Phase Locked Loop with Filter Banks for High Data Rate Satellite Link
Phase Locked Loop with Filter Banks for High Data Rate Satellite LinkPhase Locked Loop with Filter Banks for High Data Rate Satellite Link
Phase Locked Loop with Filter Banks for High Data Rate Satellite Link
 
Ed ch 9 power
Ed ch 9 powerEd ch 9 power
Ed ch 9 power
 
Ee443 phase locked loop - paper - schwappach and brandy
Ee443   phase locked loop - paper - schwappach and brandyEe443   phase locked loop - paper - schwappach and brandy
Ee443 phase locked loop - paper - schwappach and brandy
 
Source coding
Source codingSource coding
Source coding
 
Directed decision phase locked loop
Directed decision phase locked loopDirected decision phase locked loop
Directed decision phase locked loop
 
Phase lockedLoop
Phase lockedLoopPhase lockedLoop
Phase lockedLoop
 
Energy and area efficient three input xor xno rs with systematic cell design...
Energy and area efficient three input xor  xno rs with systematic cell design...Energy and area efficient three input xor  xno rs with systematic cell design...
Energy and area efficient three input xor xno rs with systematic cell design...
 

Similar a Introduction to pll

L6_S18_Introduction to PLL.pptx
L6_S18_Introduction to PLL.pptxL6_S18_Introduction to PLL.pptx
L6_S18_Introduction to PLL.pptxVinayMande1
 
L6_S18_Introduction to PLL.pptx
L6_S18_Introduction to PLL.pptxL6_S18_Introduction to PLL.pptx
L6_S18_Introduction to PLL.pptxAryanKutemate
 
Introduction to PLL - phase loop lock diagram
Introduction to PLL - phase loop lock diagramIntroduction to PLL - phase loop lock diagram
Introduction to PLL - phase loop lock diagramHai Au
 
LIC-Unit-IV-PLL.pptx
LIC-Unit-IV-PLL.pptxLIC-Unit-IV-PLL.pptx
LIC-Unit-IV-PLL.pptxssuser47b7181
 
Synchronization of single phase power converters to grid
Synchronization of single phase power converters to gridSynchronization of single phase power converters to grid
Synchronization of single phase power converters to gridSyed Lateef
 
Power Topologies_Full Deck_04251964_Mappus
Power Topologies_Full Deck_04251964_MappusPower Topologies_Full Deck_04251964_Mappus
Power Topologies_Full Deck_04251964_MappusSteve Mappus
 
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015マルツエレック株式会社 marutsuelec
 
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...IRJET Journal
 
Presentation 3 PLL_Analog_digital.pptx
Presentation 3 PLL_Analog_digital.pptxPresentation 3 PLL_Analog_digital.pptx
Presentation 3 PLL_Analog_digital.pptxPrerna Singh
 
PHASE LOCKED LOOP AND TIMER
PHASE LOCKED LOOP AND TIMERPHASE LOCKED LOOP AND TIMER
PHASE LOCKED LOOP AND TIMERTamilarasan N
 

Similar a Introduction to pll (20)

L6_S18_Introduction to PLL.pptx
L6_S18_Introduction to PLL.pptxL6_S18_Introduction to PLL.pptx
L6_S18_Introduction to PLL.pptx
 
L6_S18_Introduction to PLL.pptx
L6_S18_Introduction to PLL.pptxL6_S18_Introduction to PLL.pptx
L6_S18_Introduction to PLL.pptx
 
Introduction to PLL - phase loop lock diagram
Introduction to PLL - phase loop lock diagramIntroduction to PLL - phase loop lock diagram
Introduction to PLL - phase loop lock diagram
 
introduction to PLL.ppt
introduction to PLL.pptintroduction to PLL.ppt
introduction to PLL.ppt
 
LIC-Unit-IV-PLL.pptx
LIC-Unit-IV-PLL.pptxLIC-Unit-IV-PLL.pptx
LIC-Unit-IV-PLL.pptx
 
Pll and vco
Pll and vcoPll and vco
Pll and vco
 
Chapter 10- Synchronisation.ppt
Chapter 10- Synchronisation.pptChapter 10- Synchronisation.ppt
Chapter 10- Synchronisation.ppt
 
محاضرة 6.pdf
محاضرة 6.pdfمحاضرة 6.pdf
محاضرة 6.pdf
 
5 DSB-SC-Demodulation.pdf
5 DSB-SC-Demodulation.pdf5 DSB-SC-Demodulation.pdf
5 DSB-SC-Demodulation.pdf
 
PLL
PLLPLL
PLL
 
Synchronization of single phase power converters to grid
Synchronization of single phase power converters to gridSynchronization of single phase power converters to grid
Synchronization of single phase power converters to grid
 
Giannakas____icecs2010
Giannakas____icecs2010Giannakas____icecs2010
Giannakas____icecs2010
 
Power Topologies_Full Deck_04251964_Mappus
Power Topologies_Full Deck_04251964_MappusPower Topologies_Full Deck_04251964_Mappus
Power Topologies_Full Deck_04251964_Mappus
 
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
 
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
 
IO Circuit_1.pptx
IO Circuit_1.pptxIO Circuit_1.pptx
IO Circuit_1.pptx
 
Presentation 3 PLL_Analog_digital.pptx
Presentation 3 PLL_Analog_digital.pptxPresentation 3 PLL_Analog_digital.pptx
Presentation 3 PLL_Analog_digital.pptx
 
555 timer
555 timer555 timer
555 timer
 
PHASE LOCKED LOOP AND TIMER
PHASE LOCKED LOOP AND TIMERPHASE LOCKED LOOP AND TIMER
PHASE LOCKED LOOP AND TIMER
 
research_report (1)
research_report (1)research_report (1)
research_report (1)
 

Más de sartaj ahmed

Digital to analog convertor
Digital to analog convertorDigital to analog convertor
Digital to analog convertorsartaj ahmed
 
Low voltage low power vlsi subsystems by kiat seng yeo kaushik roy
Low voltage low power vlsi subsystems by kiat seng yeo kaushik royLow voltage low power vlsi subsystems by kiat seng yeo kaushik roy
Low voltage low power vlsi subsystems by kiat seng yeo kaushik roysartaj ahmed
 
Embedded systems ___vlsi_design
Embedded systems ___vlsi_designEmbedded systems ___vlsi_design
Embedded systems ___vlsi_designsartaj ahmed
 

Más de sartaj ahmed (8)

Digital to analog convertor
Digital to analog convertorDigital to analog convertor
Digital to analog convertor
 
Adc
AdcAdc
Adc
 
Low voltage low power vlsi subsystems by kiat seng yeo kaushik roy
Low voltage low power vlsi subsystems by kiat seng yeo kaushik royLow voltage low power vlsi subsystems by kiat seng yeo kaushik roy
Low voltage low power vlsi subsystems by kiat seng yeo kaushik roy
 
Dsp book
Dsp bookDsp book
Dsp book
 
Nyquist adc
Nyquist adcNyquist adc
Nyquist adc
 
Embedded concepts
Embedded conceptsEmbedded concepts
Embedded concepts
 
Embedded systems ___vlsi_design
Embedded systems ___vlsi_designEmbedded systems ___vlsi_design
Embedded systems ___vlsi_design
 
Sartaj witricity
Sartaj witricitySartaj witricity
Sartaj witricity
 

Último

data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapRishantSharmaFr
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptNANDHAKUMARA10
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfKamal Acharya
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
22-prompt engineering noted slide shown.pdf
22-prompt engineering noted slide shown.pdf22-prompt engineering noted slide shown.pdf
22-prompt engineering noted slide shown.pdf203318pmpc
 
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night StandCall Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Standamitlee9823
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
Unit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfUnit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfRagavanV2
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...SUHANI PANDEY
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Bookingdharasingh5698
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueBhangaleSonal
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdfKamal Acharya
 
chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringmulugeta48
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptMsecMca
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . pptDineshKumar4165
 

Último (20)

Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
22-prompt engineering noted slide shown.pdf
22-prompt engineering noted slide shown.pdf22-prompt engineering noted slide shown.pdf
22-prompt engineering noted slide shown.pdf
 
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night StandCall Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Unit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfUnit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdf
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineering
 
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 

Introduction to pll

  • 1. Introduction to Phase Locked Loop (PLL) DIGITAVID, Inc. Ahmed Abu-Hajar, Ph.D. abuhajar@digitavid.net
  • 2. Presentation Outline What is Phase Locked Loop (PLL) Basic PLL System Problem of Lock Acquisition Phase/Frequency Detector (PFD) Charge Pump PLL Application of PLL
  • 3. What is Phase Locked Loop (PLL) PLL is an Electronic Module (Circuit) that locks the phase of the output to the input. Vi(t) Phase Locked Vo(t) Loop
  • 4. Locked Vs. Unlocked Phase Example of locked phase Vi(t) Vo(t) Example of unlocked phase Vo(t) Vi(t) Phase Error ( )
  • 5. Basic PLL System PLL is a feedback system that detects the phase error and then adjusts the phase of the output. Vi(t) Vo(t) The Phase Detector (PD), detects between the output and the input through feedback system Voltage Control Oscillator (VCO) adjusts the phase difference Phase Locked Loop Phase Detector VCO Vo VI
  • 6. Implementation of PD Phase Detector is an XOR gate Phase Detector VCO Vo VI V 1 Vo 1 0 V V I o V V I o j ¹ D = = Vo(t) Vi(t) Phase Error ( )
  • 7. What is VCO ? VCO is a circuit module that oscillates at a controlled frequency . The Oscillating Frequency is controlled using Voltage VControl. – That is why the module is called Voltage Control Oscillator VControl VCO w =w + K V Vcontrol must be in the steady state for the VCO to operate properly 0 VControl o VCO Control
  • 8. Simple PLL Structure – Phase Detector ( XOR ) that detects the phase error – Low Pass Filter ( to smooth ) – Voltage Control Oscillator (VCO) Basic Idea – If VI and Vout are out of phase (unlocked), then the PD module detects the error and the LPF smoothes the error signal. The control signal slows down or speeds up the VCO module; hence, the phase is corrected (locked) Phase Vout VCO Detector VI Vout LPF VControl
  • 9. Locked Condition – Locked Condition ( ) 0 in out – This implies that Phase Vout VCO Detector VI Vout LPF VControl d dt j −j = in out w =w
  • 10. Example: In the UNLOCKED State VI and Vout has at the same frequency 1 The phase detector must produce VI Hence, VCO is dynamically changing and PD is creating VControl to adjust for the phase difference. The PLL is in the Locked state Vi(t) Vo(t) Phase Error ( ) VControl 1 0 VControl V1 VControl V1 0
  • 11. In the UNLOCKED State For Simplicity and by using Fourier Series Let V =V w t ( ) 1 cos out B o ( ) 1 cos I A V =V w t +j Due to , PD creates Vcontrol VCO will change w =w + K V out 1 VCO Control The output voltage becomes ( ) 1 cos ( ) out B o V =V w t +j − Dj t
  • 12. Dynamics of Simple PLL PLL is a feedback system – PD is a gain amplifier – LPF be first order filter ( as an example) – VCO is a unit step module The transfer function of the feedback system is given as: w w w Vw w F = = = out out n H s s s F + + 2 PD VCO s s LPF in K out KVCO PD s 1 1 s w LPF + 2 2 2 ( ) ( ) ( ) 2 in in n n K K w ( ) PD VCO LPF w w LPF PD VCO LPF H s s s K K = + +
  • 13. Transient Response to PLL The unit step response to second order system – Overdamped – Critically damped – Underdamped Problems with this PLL – Settling time Vs. ripple of Vcontor – Stability of the system – Lacks performance in ICs F = = = w w w Vw w out out n H s s s F + + PD s s VCO LPF in K out KVCO PD s 1 1 s w LPF + 2 2 2 ( ) ( ) ( ) 2 in in n n t i out t
  • 14. Problem of Lock Acquisition When PLL is turned on, the output frequency is far from the input frequency It is possible that the PLL would never lock Modern PLL uses FREQUENCY DEDECTOR (FD) in addition to the PD. VCO LPF1 LPF2 PD FD Vout out Vin in
  • 15. Phase/Frequency Detector (PFD) One Module that detects both frequency and phase differences This module senses the transition in A or B A B QA QB Initially 0 0 0 0 A leads B 0 1 0 0 0 1 0 0 XX 0 1 1 0 0 0 A B QA QB Initially 0 0 0 0 B leads A 0 0 0 1 0 0 0 1 0 1 XX 0 0 0 0 A PFD B If A leads B, QA changes its state and QB remains unchanged If B leads A, QB changes its state and QA remains unchanged QA QB A B QB QA A B QB QA
  • 16. Hardware Implementation of PFD Uses two Edge Triggering modules using D-FF If A leads to “1” QA = “1” – When B becomes “1”, QB = “1” momentarily – The AND gate RESETs Both to Qs “0” If B leads to “1” QB = “1” – When A becomes “1”, QA = “1” momentarily – The AND gate RESETs Both to Qs “0” VDD A QA QB D CK Q D CK Q VDD B
  • 17. Hardware Implementation of PFD VDD A QA QB D CK Q D CK Q VDD B RES A B QB QA RES A B QB QA RES The Vout is the average of (QA – QB) is used to detect the phase and the frequency difference
  • 18. The Basic Block diagram Structure – PFD – LPF – Differential Amplifier – VCO – Negative Feedback Disadvantage: Sensitive to noise and offset voltages, ripple Vcontrol, .. Use Charge Pump PLL D CK Q D CK Q VDD Vin in in VDD QA QB Vout out out VCO
  • 19. Charge Pump PLL Structure – PFD – Two switches controlled by QA and QB – Capacitor dV = I C d t dV I = – VCO – Negative Feedback – It charges or discharges the capacitor indefinitely D CK Q D CK Q VDD Vin in in VDD QA QB Vout out out VCO VDD C C C C d t C
  • 20. Charge Pump PLL The capacitor is replaced with a LPF (Cp and Rp) to improve the phase margin for stability The transfer function of the system is approximated as follows: P VCO P P P + P P VCO VCO P VCO Rp slows down the system D CK Q D CK Q VDD Vin in in VDD QA QB Vout out out VCO VDD CP RP ( ) 2 1 2 ( ) 2 2 P I K R C s C H s I I K s K R s K C p p p = + +
  • 21. Application of PLL Frequency Multiplications – The feedback loop has frequency division – Frequency division is implemented using a counter Clock Skew Reduction PFD Vout Buffers are used to distribute the clock Embed the buffer within the loop VCO VI LPF VControl Counter (Frequency Division)
  • 22. Application of PLL Clock Skew Reduction – Buffers are used to distribute the clock – Embed the buffer within the loop PFD Vout Jitter Reduction VCO VI Vout LPF VControl Buffer