SlideShare una empresa de Scribd logo
1 de 17
Confidential1
從封測產業趨勢談設備需求與機會
10/15/ 2014
SPIL/Stephen Chen
Confidential2
Outline
 資歷介紹
 Market Trend & Industry Benchmark
 KEY Innovative Package Solutions
• Cu Pillar Bump
• 3/2.5DIC
• SiP
• Fan-Out WLP
 Technology Challenge and Opportunity
• Scale Down and Thin Down
• Visible Defect
• Invisible Defect
• Special Inspection
 Conclusion
資歷介紹
學經歷
1991~1993中原大學應用物理所
II-VI CdS Crystal growth research
1988~1991中原大學應用物理系
工作經歷
2012~2014 矽品精密(SPIL) 研發中心處長
2007~2012 聯相太陽能光電製造中心副總經理
2006~2007 LED Epistar 元砷光電協理
2002~2006 UMC Diff Department Manager
2000~2002 UMC Diff Section Manager
1993~1999 UMC Diffusion Engineer
Confidential
Confidential4
Outline
 Market Trend & Industry Benchmark
 KEY Innovative Package Solutions
• Cu Pillar Bump
• 3/2.5DIC
• SiP
• Fan-Out WLP
 Technology Challenge and Opportunity
• Scale Down and Thin Down
• Visible Defect
• Invisible Defect
• Special Inspection
 Conclusion
305
495
722
1,405
+62%
+46%
0
400
800
1,200
1,600
2010 2011 2012 2016
+0%
+25%
+50%
+75%
+100%
Smartphone
YoY
W/W Smartphone Shipment & FCST to 2016
Shipment (M of Units)
2012~2016
CAGR
+18%
19
72
128
283
+279%
+78%
0
80
160
240
320
2010 2011 2012 2016
+0%
+100%
+200%
+300%
+400%
Tablet
YoY
W/W Tablet Shipment & FCST to 2016
Shipment (M of Units)
2012~2016
CAGR
+22%
Application
Production Units (K) CAGR
2011 2012 2013 2014 2015 2016 2017 2012~17
Server
=> High End
603 715 684 734 736 772 803 2.4%
Server
=> Low End
8,922 8,957 9,254 9,518 9,940 10,360 10,730 3.7%
Subtotal 9,525 9,672 9,938 10,252 10,676 11,132 11,533 3.6%
W/W Server Shipment & FCST to 2017 (for Networking)
Source : IDC (phone & tablet), Gartner (server), Mar. 2013
Mobile Devices and Networking Lead the Growth of Electronics
5
Tablet Market Share by Shipment
36.9%
13.4%
28.5%
Apple
Samsung
Amazon
Asus
Brand - China
Others
2nd Tier &
White Brand
9.5%
6.1%3.9%
1.7%
2nd Tier &
White Brand
1Q’2013
Source : IEK(Jun’13)
Smartphone Market Share by Shipment
Quad Core
SAMRT Phone/ Tablet Market Share
6
Country 2013 Unit Shipments 2013 Market Share 2017 Unit Shipments 2017 Market Share 2017/2013 Growth
1. China 301.2 32.8% 457.9 30.2% 52.0%
2. USA 137.5 15.0% 183.0 12.1% 33.1%
3. United Kingdom 35.5 3.9% 47.5 3.1% 33.8%
4. Japan 35.2 3.8% 37.7 2.5% 7.1%
5. Brazil 28.9 3.1% 66.3 4.4% 129.4%
6. India 27.8 3.0% 155.6 10.3% 459.7%
Others 352.5 38.4% 568.1 37.5% 61.2%
Total 918.6 100.0% 1516.1 100.0% 65.0%
TOP 5 Countries Smartphone Shipments and Market Share, 2013 and 2017(Unit in Million)
OCTA Core
Source: IDC, March, 3Q13
Wire Bond
FCCSP
PoP
(Package on Package)
6
NOW
Thinner & Lighter Form Factors,
but Low CostOutstanding
Battery Life
Better
Performance
Smartphone Evolution
Smartphone
9.3mm 7.6mm
140g 112g
 Mobile Phone is going for several KEY features, including longer battery
life, better performance with more functions, and small form factors
(thinner & lighter), but low cost.  It bring a lot of package & technology
challenges !!!
7
•CuBOL
•Exposed Die Molded
•Mold Laser PoP
•HBW(High bandwidth) PoP
•Tablet PC
•Smart Phone
•UltraBook
•Wearable Devices
•Cloud Computing
•4G LTE
•Windows 8
•Bandwidth
•Layout Density
•Thermal Dissipation
•Small Form Factor
•Cost Benefit
•Wafer Thinning (25um)
•Ag wire
•TCNCP
•Panel Fan-Out
•TSV Interposer
•Embedded Passive Sub.
•Coreless Sub.
•ETS/MIS(Embedded Trace)
•20/16/14nm Wafer Nodes
•Cu pillar bump
Request for
Wafer Fab & Bumping
Interposer & Substrate
Assembly - Front End
Assembly - Back End
Market Focused Enabling Technology
Confidential
SEMI Growth Drivers IC Packaging Solutions
>>> SPIL is focusing on KEY low cost technologies for SEMI Growth Drivers.
•CuBOL
•Exposed Die Molded
•Mold Laser PoP
•HBW(High bandwidth) POP
•Tablet PC
•Smart Phone
•UltraBook
•Wearable Devices
•Cloud Computing
•4G LTE
•Windows 8
•Bandwidth
•Layout Density
•Thermal Dissipation
•Small Form Factor
•Cost Benefit
•Wafer Thinning (25um)
•Ag wire
•TCNCP
•Panel Fan-Out
•TSV Interposer
•Embedded Passive Sub.
•Coreless Sub.
•ETS/MIS(Embedded Trace)
•20/16/14nm/ Wafer Nodes
•Cu pillar bump
Request for
Wafer Fab & Bumping
Interposer & Substrate
Assembly - Front End
Assembly - Back End
8
(Low Cost Enabling Technology)
Confidential9
Product Application
Technology Launch Pipeline
2014 2015 2016~2017
SMART Phone/ Tablet PC
Application Processor;
Baseband;
Connectivity
PMIC
PA
IoT & Wearable Devices
Connectivity
MCU
Memory
MEMS
Computing
Network
GPU
FPGA
Packaging Technology Overview(Focus on high growth products)
Available On-going Candidate
2.5D PoP
(Low Cost Interposer)
PoP Memory
HBW PoP
ePoP
BD-PoP
Panel FO
FO-MCM
EMI-SiP
(Partition shielding)
SiP
(Stack Die on Passives)Antenna in SiP
Large FCBGA
(60x60/65x65/75x75mm)
MCM 2.5DIC
(Low Cost Si-interposer)
MCM 2.5DIC
(Org-interposer)
FO-PoPHBW PoP
(Cu Stud)
Large FO-MCM
MCM 2.5DIC
(Si-interposer)
IC IC
2.5D PoP
(without Interposer)
Photonics Integrate
FO-SiP
Confidential10
Smart phone & Tablet devices are big volume growth in the past years, but observe
the wearable & IoT devices (w/ connectivity functions) will become a mainstream in the
near-term future.
Tablet Wearable IoT
HBW PoP Fan-Out 2.5D ICSiP
Thermal DissipationPackage Warpage CPI issue
Applications:
Challenges:
Smart Phone
Solutions:
Networking
Si-Photonics
Advanced Packaging Technology
Confidential11
Outline
 Market Trend & Industry Benchmark
 Key Innovative Package Solutions
• Cu Pillar Bump
• 3/2.5DIC
• SiP
• Fan-Out WLP
 Technology Challenge and Opportunity
• Scale Down and Thin Down
• Visible Defect
• Invisible Defect
• Special Inspection
 Conclusion
CuFCBGA
(Cu pillar bump)
ED-CuFCCSP
(Exposed Die+Cu pillar bump)
Advanced Wire Bonding
(Cu wire/ Ag wire)
Wafer Level Package
Fan-In
LGA /QFN
WB FC die
WB die
WB
S
M
D
Substrate
FC die
LGA /QFN
WB FC die
WB die
WB
S
M
D
Substrate
FC die
LGA /QFN
WB FC die
WB die
WBWB
S
M
D
Substrate
FC die
SiP Module
WWW.SPIL.COM.TW
Fan-Out
Fan-out Area
HBW memory PoP
(High bandwidth)
ED-CuFC-ePoP
(Enhanced PKG on PKG)
Laser DrillLaser DrillLaser Drill Exposed Die
Trace Embedded
Package
MISBGA
(Molded Interconnection System)
ETS
(Embedded Trace Substrate)
3D-IC
Top die
TSI
Substrate
Substrate
DRAM
DRAM
DRAM
DRAM
Top die
TSI
Substrate
Cu Pillar
12
<< Fine Pitch and High Power Solution >>
Benefits of Cu Pillar+B.O.T.
 Benefits:
• Lead free solution for RoHS requirement
• High current and EM capability
• Fine pitch (high I/O density) with B.O.T. (Bump On Trace)
Cu Pillar Bump
SnAg
Bump Pitch: 180um 150um 130um 100um 80um 60um 40um
Solder Bump
CuBOT
13
 Compared w/ solder bump, two benefits can achieve 20~30% cost down benefits
a. wafer : Increase 70% die quantity per wafer !! (Wafer utilization up)
b. Assembly :Sub cost down 20~30%
Category
Lead Free
Bump
Cu Pillar
Bump
Remark
Front
End
Die Size
(mm)
12*12 8.6*10.7
Die Area Shrink
CPW: 390->680 (increase ~70%
#die in 12” wf)  Save DIE COST
Bump Pitch
(um)
180 90 Shrink 50%
Back
End
Sub Layer 2/2/2 L 1/2/1 L Cost down ~20-30% of substrate
cost
Pre-Solder Y N
 PKG Information
PKG Type : EHS-FCBGA 35*35
Total cost down 20~30%
Benefits of Cu Pillar+B.O.T.
14
CuFCBGA
(Cu pillar bump)
ED-CuFCCSP
(Exposed Die+Cu pillar bump)
Advanced Wire Bonding
(Cu wire/ Ag wire)
Wafer Level Package
Fan-In
LGA /QFN
WB FC die
WB die
WB
S
M
D
Substrate
FC die
LGA /QFN
WB FC die
WB die
WB
S
M
D
Substrate
FC die
LGA /QFN
WB FC die
WB die
WBWB
S
M
D
Substrate
FC die
SiP Module
WWW.SPIL.COM.TW
Fan-Out
Fan-out Area
HBW memory PoP
(High bandwidth)
ED-CuFC-ePoP
(Enhanced PKG on PKG)
Laser DrillLaser DrillLaser Drill Exposed Die
Trace Embedded
Package
MISBGA
(Molded Interconnection System)
ETS
(Embedded Trace Substrate)
3D-IC
Top die
TSI
Substrate
Substrate
DRAM
DRAM
DRAM
DRAM
Top die
TSI
Substrate
SiP
15
Wearable Devices
(BT/ WiFi Connect with Smartphone)
 Pebble-Smart Watch
RF Module
(Bluetooth Controller)
 Google Glass
WiFi +BT Module
 Google Talking Shoes
(Accelerometers, gyroscopes and pressure sensors
will integrate on the shoes)
 Rhythm band  BT Audio Module
BT Module
16
2013~2017 3C Products Volume & CAGR
2017 Volume(M)Unit
~
~
2,000
Smartphone
(1,058, 1,850, 15.0%)
Tablet
(247, 568, 23.1%)
STB
(219, 352, 12.6%)
HDD
(570, 640, 2.9%)
NB
(162, 120, -7.2%)
TV
(204, 236, 3.7%)
PC
(138, 123, -2.8%)
*(2013Volume, 2017Volume, 2013~2017CAGR)
~
Wearable Device
(53, 314, 56%)
~
60
CAGR(%)
Source: Gartner,2013/06; SPIL Market Analysis Dep. Consolidate, 2013/0817

Más contenido relacionado

La actualidad más candente

Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢Kent Yang
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleYole Developpement
 
Flipchip bonding.
Flipchip bonding.Flipchip bonding.
Flipchip bonding.venkata016
 
產業 導線架.pdf
產業 導線架.pdf產業 導線架.pdf
產業 導線架.pdfCollaborator
 
【Intern Event:美國新半導體禁令對晶圓代工與記憶體業的影響】.pdf
【Intern Event:美國新半導體禁令對晶圓代工與記憶體業的影響】.pdf【Intern Event:美國新半導體禁令對晶圓代工與記憶體業的影響】.pdf
【Intern Event:美國新半導體禁令對晶圓代工與記憶體業的影響】.pdfCollaborator
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...Yole Developpement
 
SURFACE MOUNT TECHNOLOGY
SURFACE MOUNT TECHNOLOGYSURFACE MOUNT TECHNOLOGY
SURFACE MOUNT TECHNOLOGYSubhendra Singh
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole DeveloppementYole Developpement
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Yole Developpement
 
Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleYole Developpement
 
Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement Yole Developpement
 
產業:IGBT產業
產業:IGBT產業產業:IGBT產業
產業:IGBT產業Collaborator
 
2023年投資展望會-電子產業.pdf
2023年投資展望會-電子產業.pdf2023年投資展望會-電子產業.pdf
2023年投資展望會-電子產業.pdfJTLai1
 
The Semi-Conductor Industry: A Small Guide for Investors & Start- Ups- Semi C...
The Semi-Conductor Industry: A Small Guide for Investors & Start- Ups- Semi C...The Semi-Conductor Industry: A Small Guide for Investors & Start- Ups- Semi C...
The Semi-Conductor Industry: A Small Guide for Investors & Start- Ups- Semi C...UPE Alliance Group
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devicesAshu0711
 

La actualidad más candente (20)

Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢
 
Ic foundry
Ic foundryIc foundry
Ic foundry
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
 
Flipchip bonding.
Flipchip bonding.Flipchip bonding.
Flipchip bonding.
 
產業 導線架.pdf
產業 導線架.pdf產業 導線架.pdf
產業 導線架.pdf
 
【Intern Event:美國新半導體禁令對晶圓代工與記憶體業的影響】.pdf
【Intern Event:美國新半導體禁令對晶圓代工與記憶體業的影響】.pdf【Intern Event:美國新半導體禁令對晶圓代工與記憶體業的影響】.pdf
【Intern Event:美國新半導體禁令對晶圓代工與記憶體業的影響】.pdf
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
 
Chip packaging technology
Chip packaging technologyChip packaging technology
Chip packaging technology
 
SURFACE MOUNT TECHNOLOGY
SURFACE MOUNT TECHNOLOGYSURFACE MOUNT TECHNOLOGY
SURFACE MOUNT TECHNOLOGY
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
 
SMT
SMTSMT
SMT
 
Semiconductor Industry Tutorial
Semiconductor Industry TutorialSemiconductor Industry Tutorial
Semiconductor Industry Tutorial
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
 
Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - Sample
 
Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement
 
OVERVIEW OF IC PACKAGING
OVERVIEW OF IC PACKAGINGOVERVIEW OF IC PACKAGING
OVERVIEW OF IC PACKAGING
 
產業:IGBT產業
產業:IGBT產業產業:IGBT產業
產業:IGBT產業
 
2023年投資展望會-電子產業.pdf
2023年投資展望會-電子產業.pdf2023年投資展望會-電子產業.pdf
2023年投資展望會-電子產業.pdf
 
The Semi-Conductor Industry: A Small Guide for Investors & Start- Ups- Semi C...
The Semi-Conductor Industry: A Small Guide for Investors & Start- Ups- Semi C...The Semi-Conductor Industry: A Small Guide for Investors & Start- Ups- Semi C...
The Semi-Conductor Industry: A Small Guide for Investors & Start- Ups- Semi C...
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 

Similar a 從封測產業趨勢談設備需求與機會_ part1

Longi solar--technology roadmap
Longi solar--technology roadmapLongi solar--technology roadmap
Longi solar--technology roadmapWalter Jin
 
ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingJonas Sundqvist
 
(Company profile)ykt pcb manufacturer_in_korea_2019_1st_half
(Company profile)ykt pcb manufacturer_in_korea_2019_1st_half(Company profile)ykt pcb manufacturer_in_korea_2019_1st_half
(Company profile)ykt pcb manufacturer_in_korea_2019_1st_halfPark Jin Young
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009168report
 
2010 deep research report on global and china thin film solar cell industry
2010 deep research report on global and china thin film solar cell industry2010 deep research report on global and china thin film solar cell industry
2010 deep research report on global and china thin film solar cell industry168report
 
Yeebo company profile
Yeebo company profileYeebo company profile
Yeebo company profileJim Cao
 
Samsung Analyst Day 2013: S.LSI Namsung Woo Samsung System LSI Business
Samsung Analyst Day 2013: S.LSI Namsung Woo Samsung System LSI BusinessSamsung Analyst Day 2013: S.LSI Namsung Woo Samsung System LSI Business
Samsung Analyst Day 2013: S.LSI Namsung Woo Samsung System LSI BusinessVasilis Ananiadis
 
2009 deep research report on global and china thin film solar cell industry
2009 deep research report on global and china thin film solar cell industry2009 deep research report on global and china thin film solar cell industry
2009 deep research report on global and china thin film solar cell industryqyresearch
 
Elec and Eltek Corporate Profile 2008 Dec English
Elec and Eltek Corporate Profile 2008 Dec EnglishElec and Eltek Corporate Profile 2008 Dec English
Elec and Eltek Corporate Profile 2008 Dec Englishguest41dd72
 
MaxTelCom, presentation
MaxTelCom, presentationMaxTelCom, presentation
MaxTelCom, presentationMaxtelcom
 
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGJonas Sundqvist
 
2008 deep research report on global and china thin film solar cell industry
2008 deep research report on global and china thin film solar cell industry2008 deep research report on global and china thin film solar cell industry
2008 deep research report on global and china thin film solar cell industryqyresearch
 
2D3D AOI在packaging substrate的運用及演變與對設備商之建議
2D3D AOI在packaging substrate的運用及演變與對設備商之建議2D3D AOI在packaging substrate的運用及演變與對設備商之建議
2D3D AOI在packaging substrate的運用及演變與對設備商之建議CHENHuiMei
 
Global tft lcd equipment industry report, 2009
Global tft lcd equipment industry report, 2009Global tft lcd equipment industry report, 2009
Global tft lcd equipment industry report, 2009168report
 
Silicon Photonics and datacenter
Silicon Photonics and datacenterSilicon Photonics and datacenter
Silicon Photonics and datacenterOdinot Stanislas
 
Jorjin Technologies - AR Partnerships with Smart Glasses - 10012020
Jorjin Technologies -  AR Partnerships with Smart Glasses - 10012020Jorjin Technologies -  AR Partnerships with Smart Glasses - 10012020
Jorjin Technologies - AR Partnerships with Smart Glasses - 10012020Curt Riley
 
MTP/MPO Cabling Solution - Gigalight Product Overview
MTP/MPO Cabling Solution - Gigalight Product OverviewMTP/MPO Cabling Solution - Gigalight Product Overview
MTP/MPO Cabling Solution - Gigalight Product OverviewGigalight
 
Global and china pcb industry report, 2010 2011
Global and china pcb industry report, 2010 2011Global and china pcb industry report, 2010 2011
Global and china pcb industry report, 2010 2011ResearchInChina
 

Similar a 從封測產業趨勢談設備需求與機會_ part1 (20)

Longi solar--technology roadmap
Longi solar--technology roadmapLongi solar--technology roadmap
Longi solar--technology roadmap
 
ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturing
 
(Company profile)ykt pcb manufacturer_in_korea_2019_1st_half
(Company profile)ykt pcb manufacturer_in_korea_2019_1st_half(Company profile)ykt pcb manufacturer_in_korea_2019_1st_half
(Company profile)ykt pcb manufacturer_in_korea_2019_1st_half
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009
 
2010 deep research report on global and china thin film solar cell industry
2010 deep research report on global and china thin film solar cell industry2010 deep research report on global and china thin film solar cell industry
2010 deep research report on global and china thin film solar cell industry
 
Yeebo company profile
Yeebo company profileYeebo company profile
Yeebo company profile
 
Samsung Analyst Day 2013: S.LSI Namsung Woo Samsung System LSI Business
Samsung Analyst Day 2013: S.LSI Namsung Woo Samsung System LSI BusinessSamsung Analyst Day 2013: S.LSI Namsung Woo Samsung System LSI Business
Samsung Analyst Day 2013: S.LSI Namsung Woo Samsung System LSI Business
 
2009 deep research report on global and china thin film solar cell industry
2009 deep research report on global and china thin film solar cell industry2009 deep research report on global and china thin film solar cell industry
2009 deep research report on global and china thin film solar cell industry
 
Elec and Eltek Corporate Profile 2008 Dec English
Elec and Eltek Corporate Profile 2008 Dec EnglishElec and Eltek Corporate Profile 2008 Dec English
Elec and Eltek Corporate Profile 2008 Dec English
 
MaxTelCom, presentation
MaxTelCom, presentationMaxTelCom, presentation
MaxTelCom, presentation
 
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
 
2008 deep research report on global and china thin film solar cell industry
2008 deep research report on global and china thin film solar cell industry2008 deep research report on global and china thin film solar cell industry
2008 deep research report on global and china thin film solar cell industry
 
2D3D AOI在packaging substrate的運用及演變與對設備商之建議
2D3D AOI在packaging substrate的運用及演變與對設備商之建議2D3D AOI在packaging substrate的運用及演變與對設備商之建議
2D3D AOI在packaging substrate的運用及演變與對設備商之建議
 
Global tft lcd equipment industry report, 2009
Global tft lcd equipment industry report, 2009Global tft lcd equipment industry report, 2009
Global tft lcd equipment industry report, 2009
 
sukwon - Sputtering system Korea.
sukwon - Sputtering system Korea.sukwon - Sputtering system Korea.
sukwon - Sputtering system Korea.
 
Silicon Photonics and datacenter
Silicon Photonics and datacenterSilicon Photonics and datacenter
Silicon Photonics and datacenter
 
Jorjin Technologies - AR Partnerships with Smart Glasses - 10012020
Jorjin Technologies -  AR Partnerships with Smart Glasses - 10012020Jorjin Technologies -  AR Partnerships with Smart Glasses - 10012020
Jorjin Technologies - AR Partnerships with Smart Glasses - 10012020
 
MTP/MPO Cabling Solution - Gigalight Product Overview
MTP/MPO Cabling Solution - Gigalight Product OverviewMTP/MPO Cabling Solution - Gigalight Product Overview
MTP/MPO Cabling Solution - Gigalight Product Overview
 
3 d ic
3 d ic3 d ic
3 d ic
 
Global and china pcb industry report, 2010 2011
Global and china pcb industry report, 2010 2011Global and china pcb industry report, 2010 2011
Global and china pcb industry report, 2010 2011
 

Más de CHENHuiMei

小數據如何實現電腦視覺,微軟AI研究首席剖析關鍵
小數據如何實現電腦視覺,微軟AI研究首席剖析關鍵小數據如何實現電腦視覺,微軟AI研究首席剖析關鍵
小數據如何實現電腦視覺,微軟AI研究首席剖析關鍵CHENHuiMei
 
QIF對AOI設備業之衝擊與機會
QIF對AOI設備業之衝擊與機會QIF對AOI設備業之衝擊與機會
QIF對AOI設備業之衝擊與機會CHENHuiMei
 
產研融合推手-台大AOI設備研發聯盟_台大陳亮嘉
產研融合推手-台大AOI設備研發聯盟_台大陳亮嘉產研融合推手-台大AOI設備研發聯盟_台大陳亮嘉
產研融合推手-台大AOI設備研發聯盟_台大陳亮嘉CHENHuiMei
 
基於少樣本深度學習之橡膠墊片檢測系統
基於少樣本深度學習之橡膠墊片檢測系統基於少樣本深度學習之橡膠墊片檢測系統
基於少樣本深度學習之橡膠墊片檢測系統CHENHuiMei
 
AOI智慧升級─AI訓練師在地養成計畫_台灣人工智慧學校
AOI智慧升級─AI訓練師在地養成計畫_台灣人工智慧學校AOI智慧升級─AI訓練師在地養成計畫_台灣人工智慧學校
AOI智慧升級─AI訓練師在地養成計畫_台灣人工智慧學校CHENHuiMei
 
使用人工智慧檢測三維錫球瑕疵_台大傅楸善
使用人工智慧檢測三維錫球瑕疵_台大傅楸善使用人工智慧檢測三維錫球瑕疵_台大傅楸善
使用人工智慧檢測三維錫球瑕疵_台大傅楸善CHENHuiMei
 
IIoT發展趨勢及設備業者因應之_微軟葉怡君
IIoT發展趨勢及設備業者因應之_微軟葉怡君IIoT發展趨勢及設備業者因應之_微軟葉怡君
IIoT發展趨勢及設備業者因應之_微軟葉怡君CHENHuiMei
 
精密機械的空間軌跡精度光學檢測法_台大范光照
精密機械的空間軌跡精度光學檢測法_台大范光照精密機械的空間軌跡精度光學檢測法_台大范光照
精密機械的空間軌跡精度光學檢測法_台大范光照CHENHuiMei
 
When AOI meets AI
When AOI meets AIWhen AOI meets AI
When AOI meets AICHENHuiMei
 
2018AOI論壇_基於生成對抗網路之非監督式AOI技術_工研院蔡雅惠
2018AOI論壇_基於生成對抗網路之非監督式AOI技術_工研院蔡雅惠2018AOI論壇_基於生成對抗網路之非監督式AOI技術_工研院蔡雅惠
2018AOI論壇_基於生成對抗網路之非監督式AOI技術_工研院蔡雅惠CHENHuiMei
 
2018AOIEA論壇Keynote_眺望趨勢 量測設備未來10年發展重點_致茂曾一士
2018AOIEA論壇Keynote_眺望趨勢 量測設備未來10年發展重點_致茂曾一士2018AOIEA論壇Keynote_眺望趨勢 量測設備未來10年發展重點_致茂曾一士
2018AOIEA論壇Keynote_眺望趨勢 量測設備未來10年發展重點_致茂曾一士CHENHuiMei
 
2018AOI論壇Keynote_AI入魂製造領域現況與趨勢_工研院熊治民
2018AOI論壇Keynote_AI入魂製造領域現況與趨勢_工研院熊治民2018AOI論壇Keynote_AI入魂製造領域現況與趨勢_工研院熊治民
2018AOI論壇Keynote_AI入魂製造領域現況與趨勢_工研院熊治民CHENHuiMei
 
2018AOI論壇_AOI and IoT產線應用_工研院周森益
2018AOI論壇_AOI and IoT產線應用_工研院周森益2018AOI論壇_AOI and IoT產線應用_工研院周森益
2018AOI論壇_AOI and IoT產線應用_工研院周森益CHENHuiMei
 
2018AOI論壇_AOI參與整廠協作之實務建議_達明機器人黃鐘賢
2018AOI論壇_AOI參與整廠協作之實務建議_達明機器人黃鐘賢2018AOI論壇_AOI參與整廠協作之實務建議_達明機器人黃鐘賢
2018AOI論壇_AOI參與整廠協作之實務建議_達明機器人黃鐘賢CHENHuiMei
 
2018AOI論壇_深度學習在電腦視覺應用上的疑問_中央大學曾定章
2018AOI論壇_深度學習在電腦視覺應用上的疑問_中央大學曾定章2018AOI論壇_深度學習在電腦視覺應用上的疑問_中央大學曾定章
2018AOI論壇_深度學習在電腦視覺應用上的疑問_中央大學曾定章CHENHuiMei
 
2018AOI論壇_深度學習於表面瑕疪檢測_元智大學蔡篤銘
2018AOI論壇_深度學習於表面瑕疪檢測_元智大學蔡篤銘2018AOI論壇_深度學習於表面瑕疪檢測_元智大學蔡篤銘
2018AOI論壇_深度學習於表面瑕疪檢測_元智大學蔡篤銘CHENHuiMei
 
2018AOI論壇_時機已到 AOI導入邊緣運算_SAS林育宏
2018AOI論壇_時機已到 AOI導入邊緣運算_SAS林育宏2018AOI論壇_時機已到 AOI導入邊緣運算_SAS林育宏
2018AOI論壇_時機已到 AOI導入邊緣運算_SAS林育宏CHENHuiMei
 
2018AOI論壇_如何導入深度學習來提升工業瑕疵檢測技術_工研院賴璟皓
2018AOI論壇_如何導入深度學習來提升工業瑕疵檢測技術_工研院賴璟皓2018AOI論壇_如何導入深度學習來提升工業瑕疵檢測技術_工研院賴璟皓
2018AOI論壇_如何導入深度學習來提升工業瑕疵檢測技術_工研院賴璟皓CHENHuiMei
 

Más de CHENHuiMei (20)

小數據如何實現電腦視覺,微軟AI研究首席剖析關鍵
小數據如何實現電腦視覺,微軟AI研究首席剖析關鍵小數據如何實現電腦視覺,微軟AI研究首席剖析關鍵
小數據如何實現電腦視覺,微軟AI研究首席剖析關鍵
 
QIF對AOI設備業之衝擊與機會
QIF對AOI設備業之衝擊與機會QIF對AOI設備業之衝擊與機會
QIF對AOI設備業之衝擊與機會
 
產研融合推手-台大AOI設備研發聯盟_台大陳亮嘉
產研融合推手-台大AOI設備研發聯盟_台大陳亮嘉產研融合推手-台大AOI設備研發聯盟_台大陳亮嘉
產研融合推手-台大AOI設備研發聯盟_台大陳亮嘉
 
基於少樣本深度學習之橡膠墊片檢測系統
基於少樣本深度學習之橡膠墊片檢測系統基於少樣本深度學習之橡膠墊片檢測系統
基於少樣本深度學習之橡膠墊片檢測系統
 
AOI智慧升級─AI訓練師在地養成計畫_台灣人工智慧學校
AOI智慧升級─AI訓練師在地養成計畫_台灣人工智慧學校AOI智慧升級─AI訓練師在地養成計畫_台灣人工智慧學校
AOI智慧升級─AI訓練師在地養成計畫_台灣人工智慧學校
 
使用人工智慧檢測三維錫球瑕疵_台大傅楸善
使用人工智慧檢測三維錫球瑕疵_台大傅楸善使用人工智慧檢測三維錫球瑕疵_台大傅楸善
使用人工智慧檢測三維錫球瑕疵_台大傅楸善
 
IIoT發展趨勢及設備業者因應之_微軟葉怡君
IIoT發展趨勢及設備業者因應之_微軟葉怡君IIoT發展趨勢及設備業者因應之_微軟葉怡君
IIoT發展趨勢及設備業者因應之_微軟葉怡君
 
精密機械的空間軌跡精度光學檢測法_台大范光照
精密機械的空間軌跡精度光學檢測法_台大范光照精密機械的空間軌跡精度光學檢測法_台大范光照
精密機械的空間軌跡精度光學檢測法_台大范光照
 
Report
ReportReport
Report
 
Deep learning
Deep learningDeep learning
Deep learning
 
When AOI meets AI
When AOI meets AIWhen AOI meets AI
When AOI meets AI
 
2018AOI論壇_基於生成對抗網路之非監督式AOI技術_工研院蔡雅惠
2018AOI論壇_基於生成對抗網路之非監督式AOI技術_工研院蔡雅惠2018AOI論壇_基於生成對抗網路之非監督式AOI技術_工研院蔡雅惠
2018AOI論壇_基於生成對抗網路之非監督式AOI技術_工研院蔡雅惠
 
2018AOIEA論壇Keynote_眺望趨勢 量測設備未來10年發展重點_致茂曾一士
2018AOIEA論壇Keynote_眺望趨勢 量測設備未來10年發展重點_致茂曾一士2018AOIEA論壇Keynote_眺望趨勢 量測設備未來10年發展重點_致茂曾一士
2018AOIEA論壇Keynote_眺望趨勢 量測設備未來10年發展重點_致茂曾一士
 
2018AOI論壇Keynote_AI入魂製造領域現況與趨勢_工研院熊治民
2018AOI論壇Keynote_AI入魂製造領域現況與趨勢_工研院熊治民2018AOI論壇Keynote_AI入魂製造領域現況與趨勢_工研院熊治民
2018AOI論壇Keynote_AI入魂製造領域現況與趨勢_工研院熊治民
 
2018AOI論壇_AOI and IoT產線應用_工研院周森益
2018AOI論壇_AOI and IoT產線應用_工研院周森益2018AOI論壇_AOI and IoT產線應用_工研院周森益
2018AOI論壇_AOI and IoT產線應用_工研院周森益
 
2018AOI論壇_AOI參與整廠協作之實務建議_達明機器人黃鐘賢
2018AOI論壇_AOI參與整廠協作之實務建議_達明機器人黃鐘賢2018AOI論壇_AOI參與整廠協作之實務建議_達明機器人黃鐘賢
2018AOI論壇_AOI參與整廠協作之實務建議_達明機器人黃鐘賢
 
2018AOI論壇_深度學習在電腦視覺應用上的疑問_中央大學曾定章
2018AOI論壇_深度學習在電腦視覺應用上的疑問_中央大學曾定章2018AOI論壇_深度學習在電腦視覺應用上的疑問_中央大學曾定章
2018AOI論壇_深度學習在電腦視覺應用上的疑問_中央大學曾定章
 
2018AOI論壇_深度學習於表面瑕疪檢測_元智大學蔡篤銘
2018AOI論壇_深度學習於表面瑕疪檢測_元智大學蔡篤銘2018AOI論壇_深度學習於表面瑕疪檢測_元智大學蔡篤銘
2018AOI論壇_深度學習於表面瑕疪檢測_元智大學蔡篤銘
 
2018AOI論壇_時機已到 AOI導入邊緣運算_SAS林育宏
2018AOI論壇_時機已到 AOI導入邊緣運算_SAS林育宏2018AOI論壇_時機已到 AOI導入邊緣運算_SAS林育宏
2018AOI論壇_時機已到 AOI導入邊緣運算_SAS林育宏
 
2018AOI論壇_如何導入深度學習來提升工業瑕疵檢測技術_工研院賴璟皓
2018AOI論壇_如何導入深度學習來提升工業瑕疵檢測技術_工研院賴璟皓2018AOI論壇_如何導入深度學習來提升工業瑕疵檢測技術_工研院賴璟皓
2018AOI論壇_如何導入深度學習來提升工業瑕疵檢測技術_工研院賴璟皓
 

Último

Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024Lonnie McRorey
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfPrecisely
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteDianaGray10
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionDilum Bandara
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DaySri Ambati
 

Último (20)

Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test Suite
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An Introduction
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
 

從封測產業趨勢談設備需求與機會_ part1

  • 2. Confidential2 Outline  資歷介紹  Market Trend & Industry Benchmark  KEY Innovative Package Solutions • Cu Pillar Bump • 3/2.5DIC • SiP • Fan-Out WLP  Technology Challenge and Opportunity • Scale Down and Thin Down • Visible Defect • Invisible Defect • Special Inspection  Conclusion
  • 3. 資歷介紹 學經歷 1991~1993中原大學應用物理所 II-VI CdS Crystal growth research 1988~1991中原大學應用物理系 工作經歷 2012~2014 矽品精密(SPIL) 研發中心處長 2007~2012 聯相太陽能光電製造中心副總經理 2006~2007 LED Epistar 元砷光電協理 2002~2006 UMC Diff Department Manager 2000~2002 UMC Diff Section Manager 1993~1999 UMC Diffusion Engineer Confidential
  • 4. Confidential4 Outline  Market Trend & Industry Benchmark  KEY Innovative Package Solutions • Cu Pillar Bump • 3/2.5DIC • SiP • Fan-Out WLP  Technology Challenge and Opportunity • Scale Down and Thin Down • Visible Defect • Invisible Defect • Special Inspection  Conclusion
  • 5. 305 495 722 1,405 +62% +46% 0 400 800 1,200 1,600 2010 2011 2012 2016 +0% +25% +50% +75% +100% Smartphone YoY W/W Smartphone Shipment & FCST to 2016 Shipment (M of Units) 2012~2016 CAGR +18% 19 72 128 283 +279% +78% 0 80 160 240 320 2010 2011 2012 2016 +0% +100% +200% +300% +400% Tablet YoY W/W Tablet Shipment & FCST to 2016 Shipment (M of Units) 2012~2016 CAGR +22% Application Production Units (K) CAGR 2011 2012 2013 2014 2015 2016 2017 2012~17 Server => High End 603 715 684 734 736 772 803 2.4% Server => Low End 8,922 8,957 9,254 9,518 9,940 10,360 10,730 3.7% Subtotal 9,525 9,672 9,938 10,252 10,676 11,132 11,533 3.6% W/W Server Shipment & FCST to 2017 (for Networking) Source : IDC (phone & tablet), Gartner (server), Mar. 2013 Mobile Devices and Networking Lead the Growth of Electronics 5
  • 6. Tablet Market Share by Shipment 36.9% 13.4% 28.5% Apple Samsung Amazon Asus Brand - China Others 2nd Tier & White Brand 9.5% 6.1%3.9% 1.7% 2nd Tier & White Brand 1Q’2013 Source : IEK(Jun’13) Smartphone Market Share by Shipment Quad Core SAMRT Phone/ Tablet Market Share 6 Country 2013 Unit Shipments 2013 Market Share 2017 Unit Shipments 2017 Market Share 2017/2013 Growth 1. China 301.2 32.8% 457.9 30.2% 52.0% 2. USA 137.5 15.0% 183.0 12.1% 33.1% 3. United Kingdom 35.5 3.9% 47.5 3.1% 33.8% 4. Japan 35.2 3.8% 37.7 2.5% 7.1% 5. Brazil 28.9 3.1% 66.3 4.4% 129.4% 6. India 27.8 3.0% 155.6 10.3% 459.7% Others 352.5 38.4% 568.1 37.5% 61.2% Total 918.6 100.0% 1516.1 100.0% 65.0% TOP 5 Countries Smartphone Shipments and Market Share, 2013 and 2017(Unit in Million) OCTA Core Source: IDC, March, 3Q13 Wire Bond FCCSP PoP (Package on Package) 6
  • 7. NOW Thinner & Lighter Form Factors, but Low CostOutstanding Battery Life Better Performance Smartphone Evolution Smartphone 9.3mm 7.6mm 140g 112g  Mobile Phone is going for several KEY features, including longer battery life, better performance with more functions, and small form factors (thinner & lighter), but low cost.  It bring a lot of package & technology challenges !!! 7
  • 8. •CuBOL •Exposed Die Molded •Mold Laser PoP •HBW(High bandwidth) PoP •Tablet PC •Smart Phone •UltraBook •Wearable Devices •Cloud Computing •4G LTE •Windows 8 •Bandwidth •Layout Density •Thermal Dissipation •Small Form Factor •Cost Benefit •Wafer Thinning (25um) •Ag wire •TCNCP •Panel Fan-Out •TSV Interposer •Embedded Passive Sub. •Coreless Sub. •ETS/MIS(Embedded Trace) •20/16/14nm Wafer Nodes •Cu pillar bump Request for Wafer Fab & Bumping Interposer & Substrate Assembly - Front End Assembly - Back End Market Focused Enabling Technology Confidential SEMI Growth Drivers IC Packaging Solutions >>> SPIL is focusing on KEY low cost technologies for SEMI Growth Drivers. •CuBOL •Exposed Die Molded •Mold Laser PoP •HBW(High bandwidth) POP •Tablet PC •Smart Phone •UltraBook •Wearable Devices •Cloud Computing •4G LTE •Windows 8 •Bandwidth •Layout Density •Thermal Dissipation •Small Form Factor •Cost Benefit •Wafer Thinning (25um) •Ag wire •TCNCP •Panel Fan-Out •TSV Interposer •Embedded Passive Sub. •Coreless Sub. •ETS/MIS(Embedded Trace) •20/16/14nm/ Wafer Nodes •Cu pillar bump Request for Wafer Fab & Bumping Interposer & Substrate Assembly - Front End Assembly - Back End 8 (Low Cost Enabling Technology)
  • 9. Confidential9 Product Application Technology Launch Pipeline 2014 2015 2016~2017 SMART Phone/ Tablet PC Application Processor; Baseband; Connectivity PMIC PA IoT & Wearable Devices Connectivity MCU Memory MEMS Computing Network GPU FPGA Packaging Technology Overview(Focus on high growth products) Available On-going Candidate 2.5D PoP (Low Cost Interposer) PoP Memory HBW PoP ePoP BD-PoP Panel FO FO-MCM EMI-SiP (Partition shielding) SiP (Stack Die on Passives)Antenna in SiP Large FCBGA (60x60/65x65/75x75mm) MCM 2.5DIC (Low Cost Si-interposer) MCM 2.5DIC (Org-interposer) FO-PoPHBW PoP (Cu Stud) Large FO-MCM MCM 2.5DIC (Si-interposer) IC IC 2.5D PoP (without Interposer) Photonics Integrate FO-SiP
  • 10. Confidential10 Smart phone & Tablet devices are big volume growth in the past years, but observe the wearable & IoT devices (w/ connectivity functions) will become a mainstream in the near-term future. Tablet Wearable IoT HBW PoP Fan-Out 2.5D ICSiP Thermal DissipationPackage Warpage CPI issue Applications: Challenges: Smart Phone Solutions: Networking Si-Photonics Advanced Packaging Technology
  • 11. Confidential11 Outline  Market Trend & Industry Benchmark  Key Innovative Package Solutions • Cu Pillar Bump • 3/2.5DIC • SiP • Fan-Out WLP  Technology Challenge and Opportunity • Scale Down and Thin Down • Visible Defect • Invisible Defect • Special Inspection  Conclusion
  • 12. CuFCBGA (Cu pillar bump) ED-CuFCCSP (Exposed Die+Cu pillar bump) Advanced Wire Bonding (Cu wire/ Ag wire) Wafer Level Package Fan-In LGA /QFN WB FC die WB die WB S M D Substrate FC die LGA /QFN WB FC die WB die WB S M D Substrate FC die LGA /QFN WB FC die WB die WBWB S M D Substrate FC die SiP Module WWW.SPIL.COM.TW Fan-Out Fan-out Area HBW memory PoP (High bandwidth) ED-CuFC-ePoP (Enhanced PKG on PKG) Laser DrillLaser DrillLaser Drill Exposed Die Trace Embedded Package MISBGA (Molded Interconnection System) ETS (Embedded Trace Substrate) 3D-IC Top die TSI Substrate Substrate DRAM DRAM DRAM DRAM Top die TSI Substrate Cu Pillar 12
  • 13. << Fine Pitch and High Power Solution >> Benefits of Cu Pillar+B.O.T.  Benefits: • Lead free solution for RoHS requirement • High current and EM capability • Fine pitch (high I/O density) with B.O.T. (Bump On Trace) Cu Pillar Bump SnAg Bump Pitch: 180um 150um 130um 100um 80um 60um 40um Solder Bump CuBOT 13
  • 14.  Compared w/ solder bump, two benefits can achieve 20~30% cost down benefits a. wafer : Increase 70% die quantity per wafer !! (Wafer utilization up) b. Assembly :Sub cost down 20~30% Category Lead Free Bump Cu Pillar Bump Remark Front End Die Size (mm) 12*12 8.6*10.7 Die Area Shrink CPW: 390->680 (increase ~70% #die in 12” wf)  Save DIE COST Bump Pitch (um) 180 90 Shrink 50% Back End Sub Layer 2/2/2 L 1/2/1 L Cost down ~20-30% of substrate cost Pre-Solder Y N  PKG Information PKG Type : EHS-FCBGA 35*35 Total cost down 20~30% Benefits of Cu Pillar+B.O.T. 14
  • 15. CuFCBGA (Cu pillar bump) ED-CuFCCSP (Exposed Die+Cu pillar bump) Advanced Wire Bonding (Cu wire/ Ag wire) Wafer Level Package Fan-In LGA /QFN WB FC die WB die WB S M D Substrate FC die LGA /QFN WB FC die WB die WB S M D Substrate FC die LGA /QFN WB FC die WB die WBWB S M D Substrate FC die SiP Module WWW.SPIL.COM.TW Fan-Out Fan-out Area HBW memory PoP (High bandwidth) ED-CuFC-ePoP (Enhanced PKG on PKG) Laser DrillLaser DrillLaser Drill Exposed Die Trace Embedded Package MISBGA (Molded Interconnection System) ETS (Embedded Trace Substrate) 3D-IC Top die TSI Substrate Substrate DRAM DRAM DRAM DRAM Top die TSI Substrate SiP 15
  • 16. Wearable Devices (BT/ WiFi Connect with Smartphone)  Pebble-Smart Watch RF Module (Bluetooth Controller)  Google Glass WiFi +BT Module  Google Talking Shoes (Accelerometers, gyroscopes and pressure sensors will integrate on the shoes)  Rhythm band  BT Audio Module BT Module 16
  • 17. 2013~2017 3C Products Volume & CAGR 2017 Volume(M)Unit ~ ~ 2,000 Smartphone (1,058, 1,850, 15.0%) Tablet (247, 568, 23.1%) STB (219, 352, 12.6%) HDD (570, 640, 2.9%) NB (162, 120, -7.2%) TV (204, 236, 3.7%) PC (138, 123, -2.8%) *(2013Volume, 2017Volume, 2013~2017CAGR) ~ Wearable Device (53, 314, 56%) ~ 60 CAGR(%) Source: Gartner,2013/06; SPIL Market Analysis Dep. Consolidate, 2013/0817