SlideShare a Scribd company logo
1 of 15
Download to read offline
Item Maker Category Model
1 Accretech Manual Spinner Cleaner ACS100A
2 Accretech Back Grinder Polisher PG300RM
3 ACQUTEK FLUX CLEANER AFC-1210A
4 Agilent Tester 4073A
5 Agilent Tester 4073B
6 Agilent Tester 4073B
7 Alcatel Etch Alcatel Gir
8 AMAT CMP Reflexion
9 AMAT CVD Centura AP UltimaX
10 AMAT CVD Centura AP UltimaX
11 AMAT CVD Centura AP UltimaX
12 AMAT CVD Centura AP UltimaX
13 AMAT CVD Centura AP UltimaX
14 AMAT CVD Centura AP UltimaX
15 AMAT CVD Centura AP UltimaX
16 AMAT CVD Centura AP UltimaX
17 AMAT CVD Centura AP UltimaX
18 AMAT CVD Centura AP UltimaX
19 AMAT CVD Centura AP UltimaX
20 AMAT CVD P5000
21 AMAT CVD P5000
22 AMAT CVD P5000
23 AMAT CVD P5000
24 AMAT CVD P5000
25 AMAT CVD Producer
26 AMAT CVD Producer
27 AMAT CVD Producer GT Chamber
28 AMAT CVD Producer Manual Cassette Loader
29 AMAT CVD Producer SE
30 AMAT CVD Producer SE
31 AMAT CVD Producer SE
32 AMAT Etch Centura Carina
33 AMAT Etch Centura DPS2 Metal
34 AMAT Etch Centura DPS2 Poly
35 AMAT Etch Centura DPS2 Poly
36 AMAT Etch Centura Enabler
37 AMAT Etch Centura Enabler
38 AMAT Etch Centura Enabler
39 AMAT Etch Centura Enabler
40 AMAT Etch Centura Enabler
41 AMAT Etch Centura Enabler
42 AMAT Etch Centura Enabler
43 AMAT Etch Centura Enabler
44 AMAT Implant Quantum XP
45 AMAT Implant Quantum XP
46 AMAT Implant Quantum XP
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
47 AMAT Metrology DFinder2
48 AMAT Metrology DFinder2
49 AMAT Metrology DFinder2
50 AMAT Metrology DFinder2
51 AMAT Metrology DFinder2
52 AMAT Metrology Orbot WF720
53 AMAT Metrology UVision 4
54 AMAT Metrology UVision 5
55 AMAT Metrology UVision 5
56 AMAT Metrology WF720
57 AMAT Metrology WF730
58 AMAT PVD Endura 2
59 AMAT PVD Endura 2
60 AMAT PVD Endura 2 Chamber
61 AMAT PVD Endura CL
62 AMAT PVD Endura CL
63 AMAT PVD Endura CL Chamber
64 AMAT RTP AMC7800RPX
65 AMAT RTP AMC7811
66 AMAT RTP AMC7821
67 AMAT RTP AMC7821
68 AMKOR TESTER ARMAR-RF
69 AMKOR TESTER RFT
70 ANAM PICK AND PLACE SAML+101(JIGLOADER)
71 A-PET Etch 300mm NT-#102
72 APM SINGULATION M/C AP-0129
73 ASM CVD Dragon 2300
74 ASM CVD Eagle XP8
75 ASM CVD Eagle XP8
76 ASM CVD Eagle10
77 ASM CVD Eagle10
78 ASM CVD Eagle12
79 ASM CVD Eagle12
80 ASM Furnace A412
81 ASM WIRE BONDER Eagle Xtreme
82 ASM WIRE BONDER Eagle Xtreme
83 ASM WIRE BONDER Eagle Xtreme
84 ASM WIRE BONDER Eagle Xtreme
85 ASM WIRE BONDER Eagle Xtreme
86 ASM WIRE BONDER Eagle Xtreme
87 ASM Wire Bonder iHawk Xtreme
88 ASM Wire Bonder iHawk Xtreme
89 ASM Wire Bonder iHawk Xtreme
90 ASM Wire Bonder iHawk Xtreme
91 ASM Wire Bonder iHawk Xtreme
92 ASML Scanner AT850
93 ASML Scanner AT850T
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
94 ASML Scanner NXT1950HI
95 ASML Scanner XT1250D
96 ASML Scanner XT1400E
97 ASML Scanner XT1400F
98 ASML Scanner XT1700FI
99 ASML Scanner XT760F
100 ASML Scanner XT850F
101 Asymtek Dispenser X1020
102 Asymtek ENCAP M/C X1020
103 Aviza/SVG Furnace 10K
104 Aviza/SVG Furnace 10K
105 Axcelis Asher Microlite
106 Axcelis Implant Optima HD
107 Axcelis Implant Paradigm XE
108 Axcelis Track RapidCure 320FC
109 BMR Etch ICP Etcher
110 BMR Etch ICP Etcher
111 Canon Scanner FPA-5000ES3
112 Canon Scanner FPA-6000ES6a
113 Canon Scanner FPA-6000ES6a
114 Canon Stepper FPA-3000i4
115 Canon Stepper FPA-3000i4
116 Canon Stepper FPA-5500iZ
117 Canon Stepper FPA-5500iZ
118 Canon Stepper FPA-5500iZ+
119 CS ENG TEST OTHERS AREM0705
120 CS ENG Others MCS-5000
121 Cymer Scanner ELS-5410
122 DAESUNG MICRON SCREEN PRINTER DSMP 380V
123 DAGE X-RAY M/C XD7600NT
124 DEK SCREEN PRINTER Infinity Api
125 DEK SCREEN PRINTER Infinity Api
126 Disco Dicing Saw DFD6360
127 Disco Dicing Saw DFD6360
128 Disco Dicing Saw DFD6360
129 Disco Dicing Saw DFD6360
130 Disco Dicing Saw DFD6360
131 Disco Dicing Saw DFD6360
132 Dongyang LASER M/K M/C DLM-818MV
133 Dongyang LASER M/K M/C DLM-818MV
134 Duocom OVEN HS-2900A
135 Duocom OVEN HS-2954-9
136 DYNATECH WAFER LAMINATOR DT-CLR2030
137 EBARA CMP EPO-222
138 EBARA CMP EPO-222A
139 EBARA CMP EPO-222A
140 EBARA CMP EPO-222A
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
141 EBARA CMP EPO-223
142 EBARA CMP EPO-223
143 EBARA CMP EPO-223
144 EBARA CMP F-REX200
145 EBARA CMP F-REX200
146 EBARA CMP F-REX300S
147 EBARA CMP F-REX300S
148 EBARA CMP F-REX300S
149 EBARA CMP F-REX300S
150 EBARA CMP F-REX300S
151 EBARA CMP F-REX300S
152 EBARA CMP F-REX300S
153 EBARA CMP F-REX300S
154 EBARA CMP F-REX300S2
155 EBARA CMP F-REX300S2
156 Electroglas Wafer Prober EG2001
157 Electroglas Wafer Prober EG2001CX
158 Electroglas Wafer Prober EG2001X
159 Electroglas Wafer Prober EG2001X
160 Electroglas Wafer Prober EG2001X
161 Electroglas Wafer Prober EG2001X
162 FEI Metrology Expida
163 FEI Metrology Tecnai F20S
164 FEI Metrology Tecnai G2 F20
165 FEI Metrology Tecnai G2 F20
166 Fico MOLD M/C AMSW40306
167 Fusion Track M150
168 Fusion Track M150PC
169 Fusion Track M150PC
170 GES CVD CAYMAN
171 GES CVD CAYMAN
172 GES CVD CAYMAN
173 GES CVD CAYMAN
174 GES CVD CAYMAN
175 GST FLUX CLEANER GFC-1310A
176 GST FLUX CLEANER GFC-1310A
177 HAANA TEST OTHERS HCM-2000
178 HAANA TEST OTHERS HUC-2000
179 HAANA PICK AND PLACE HPP-4000
180 HAANA OFF LOADER HOL-4000
181 Hanmi Semiconductor LEAD INSPECTION MACHINE 3D VISION
182 Hanmi Semiconductor LEAD INSPECTION MACHINE 3D VISION
183 Hanwha Screen Printer SP1-C
184 Heller REFLOW M/C 1706EXL
185 Heller REFLOW M/C 1706EXLN
186 Hermes Microvision Metrology eScan320
187 Hitachi Etch DM421P
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
188 Hitachi Metrology I6300
189 Hitachi Metrology I6300
190 Hitachi Metrology IS2700
191 Hitachi Metrology RS3000
192 Hitachi Metrology RS3000T
193 Hitachi Metrology RS4000
194 Hitachi Metrology RS4000
195 Hitachi Metrology RS5000
196 Hitachi Metrology S-4800
197 Hitachi Metrology S-9380II
198 Hitachi Metrology S-9380II
199 Hitachi Metrology S-9380II
200 Hitachi Kokusai Asher RAM-8500II
201 Hitachi Kokusai Asher RAM-8500II
202 Hitachi Kokusai Asher RAM-8500II
203 Hitachi Kokusai Asher RAM-8500ZX
204 Hitachi Kokusai Furnace DD-1206V-DF
205 Hitachi Kokusai Furnace DD-1206V-DF
206 Hitachi Kokusai Furnace DD-1206V-DF
207 Hitachi Kokusai Furnace DD-1206V-DF
208 Hitachi Kokusai Furnace DD-1206V-DF
209 Hitachi Kokusai Furnace DD-1206V-DF
210 Hitachi Kokusai Furnace DD-1206V-DF
211 Hitachi Kokusai Furnace DD-1206VN-DF
212 Hitachi Kokusai Furnace DD-1206VN-DF
213 Hitachi Kokusai Furnace DD-1223VN
214 Hitachi Kokusai Furnace DD-1236VN-DF
215 Hitachi Kokusai Furnace DJ-1206VN-DM
216 Hitachi Kokusai Furnace DJ-1206VN-DM
217 Hitachi Kokusai Furnace DJ-1206VN-DM
218 Hitachi Kokusai Furnace DJ-1236VN-DF
219 Hitachi Kokusai Furnace Quixace Ultimate
220 Hitachi Kokusai Metrology VR-120SD
221 Horiba Metrology PR-PD2
222 ICOS LEAD INSPECTION M/C CI-T120
223 JEOL Metrology ARM200CF Super X
224 Kaijo Wire Bonder FB700
225 Kaijo Wire Bonder FB700
226 Kaijo Wire Bonder FB700
227 Kaijo Wire Bonder FB700
228 Karl SUSS Stepper MA150
229 Karl SUSS Stepper MA150
230 Karl SUSS Stepper MA200
231 Karl SUSS Stepper MA200
232 Karl SUSS Stepper MA200
233 Karl SUSS Wafer Bonder CB200M
234 Karl SUSS Wafer Bonder CBC200
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
235 KLA Metrology 3905
236 KLA Metrology 3905
237 KLA Metrology AIT
238 KLA Metrology AIT Fusion
239 KLA Metrology AIT XUV
240 KLA Metrology Aleris CX
241 KLA Metrology Aleris HX8500
242 KLA Metrology Archer 300 AIM
243 KLA Metrology Archer AIM MPX
244 KLA Metrology EDR5210
245 KLA Metrology EDR5210
246 KLA Metrology Ergolux
247 KLA Metrology HRP-340
248 KLA Metrology INM100+INS10
249 KLA Metrology KLA2371
250 KLA Metrology KLA5100
251 KLA Metrology LDS3300M
252 KLA Metrology MPV CD2 AMC
253 KLA Metrology MPV CD2 AMC
254 KLA Metrology MPV-CD
255 KLA Metrology NANOMAPPER
256 KLA Metrology Puma 9000
257 KLA Metrology Puma 9100
258 KLA Metrology Puma 9130
259 KLA Metrology SFS7700
260 KLA Metrology Surfscan 2.1
261 KLA Metrology Viper
262 KLA Metrology Viper
263 KNS WIRE BONDER MAXUM ULTRA
264 KNS WIRE BONDER MAXUM ULTRA
265 KNS WIRE BONDER MAXUM ULTRA
266 KNS WIRE BONDER MAXUM ULTRA
267 KNS WIRE BONDER MAXUM ULTRA
268 KNS WIRE BONDER MAXUM ULTRA
269 KNS WIRE BONDER MAXUM ULTRA
270 KNS WIRE BONDER MAXUM ULTRA
271 KNS WIRE BONDER MAXUM ULTRA
272 KNS WIRE BONDER MAXUM ULTRA
273 KNS WIRE BONDER MAXUM ULTRA
274 KNS WIRE BONDER MAXUM ULTRA
275 KNS WIRE BONDER MAXUM ULTRA
276 KNS WIRE BONDER MAXUM ULTRA
277 KNS WIRE BONDER MAXUM ULTRA
278 KNS WIRE BONDER MAXUM ULTRA
279 KNS WIRE BONDER MAXUM ULTRA
280 KNS WIRE BONDER MAXUM ULTRA
281 KNS WIRE BONDER MAXUM ULTRA
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
282 KNS WIRE BONDER MAXUM ULTRA
283 KNS WIRE BONDER MAXUM ULTRA
284 KNS WIRE BONDER MAXUM ULTRA
285 KNS WIRE BONDER MAXUM ULTRA
286 KNS WIRE BONDER MAXUM ULTRA
287 KNS WIRE BONDER MAXUM ULTRA
288 KNS WIRE BONDER MAXUM ULTRA
289 KNS WIRE BONDER MAXUM ULTRA
290 KNS WIRE BONDER MAXUM ULTRA
291 KNS WIRE BONDER MAXUM ULTRA
292 KNS WIRE BONDER MAXUM ULTRA
293 KNS WIRE BONDER MAXUM ULTRA
294 KNS WIRE BONDER MAXUM ULTRA
295 KNS WIRE BONDER MAXUM ULTRA
296 KNS WIRE BONDER MAXUM ULTRA
297 KNS WIRE BONDER MAXUM ULTRA
298 KNS WIRE BONDER MAXUM ULTRA
299 KNS WIRE BONDER MAXUM ULTRA
300 KNS WIRE BONDER MAXUM ULTRA
301 KNS WIRE BONDER MAXUM ULTRA
302 KNS WIRE BONDER MAXUM ULTRA
303 KNS WIRE BONDER MAXUM ULTRA
304 KNS WIRE BONDER MAXUM ULTRA
305 KNS WIRE BONDER MAXUM ULTRA
306 KNS WIRE BONDER MAXUM ULTRA
307 KNS WIRE BONDER MAXUM ULTRA
308 KNS WIRE BONDER MAXUM ULTRA
309 KNS WIRE BONDER MAXUM ULTRA
310 KNS WIRE BONDER MAXUM ULTRA
311 KNS WIRE BONDER MAXUM ULTRA
312 KNS WIRE BONDER MAXUM ULTRA
313 KNS WIRE BONDER MAXUM ULTRA
314 KNS WIRE BONDER MAXUM ULTRA
315 KNS WIRE BONDER MAXUM ULTRA
316 KNS WIRE BONDER MAXUM ULTRA
317 KNS WIRE BONDER MAXUM ULTRA
318 KNS WIRE BONDER MAXUM ULTRA
319 KNS WIRE BONDER MAXUM ULTRA
320 KNS WIRE BONDER MAXUM ULTRA
321 KNS WIRE BONDER MAXUM ULTRA
322 KNS WIRE BONDER MAXUM ULTRA
323 KNS WIRE BONDER MAXUM ULTRA
324 KNS WIRE BONDER MAXUM ULTRA
325 KNS WIRE BONDER MAXUM ULTRA
326 KNS WIRE BONDER MAXUM ULTRA
327 KNS WIRE BONDER MAXUM ULTRA
328 KNS WIRE BONDER MAXUM ULTRA
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
329 KNS WIRE BONDER MAXUM ULTRA
330 KNS WIRE BONDER MAXUM ULTRA
331 KNS WIRE BONDER MAXUM ULTRA
332 KNS WIRE BONDER MAXUM ULTRA
333 KNS WIRE BONDER MAXUM ULTRA
334 KNS WIRE BONDER MAXUM ULTRA
335 KNS WIRE BONDER MAXUM ULTRA
336 KNS WIRE BONDER MAXUM ULTRA
337 KNS WIRE BONDER MAXUM ULTRA
338 KNS WIRE BONDER MAXUM ULTRA
339 KNS WIRE BONDER MAXUM ULTRA
340 KNS WIRE BONDER MAXUM ULTRA
341 Komatsu Scanner G20K2-1
342 Komatsu Scanner G20K2-1
343 Komatsu Scanner G20K4-1
344 Komatsu Scanner G20K4-1
345 Komatsu Scanner G20K4-1
346 KOSES OFF LOADER KUM 100-M
347 KOSES OFF LOADER KUM 100-M
348 KOSES LOADER KUM100
349 KOSES OFF-LOADER KUM100
350 KOSES OFF-LOADER KUM100
351 KOSES OFF-LOADER KUM100
352 KOSES OFF-LOADER KUM100
353 KOSES SCREEN PRINTER KPM100
354 KOSES SCREEN PRINTER KPM100
355 KOSES SCREEN PRINTER KPM100
356 Lam Etch 2300 Exelan Flex45
357 Lam Etch Alliance TCP9600
358 Lam Etch R4520
359 Lam Etch R4520
360 LASERTEC Metrology BI100
361 leepack PACKING M/C M-22
362 LINTEC Taper RAD-3500F/8
363 Mattson Asher Aspen 3
364 Mattson Etch ParadigmE
365 Mattson Etch ParadigmE XP
366 Mattson RTP AST3000
367 Mattson RTP AST3000
368 Mattson RTP AST3000
369 Mattson RTP AST3000
370 Mattson RTP AST3000 plus
371 Mattson RTP Helios
372 Mattson RTP Helios
373 Mattson RTP Helios
374 Mattson RTP Helios
375 Mattson RTP Helios
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
376 Mattson RTP Helios
377 Mattson RTP Helios
378 Mattson RTP Helios
379 Mattson RTP Helios
380 MICRON TEST OTHERS HP-20T
381 Mirtec AOI MV-8VDH
382 Montair Etch Prefurnace clean
383 Montair Etch Wafer etch
384 Multitest HANDLER INSTRIP
385 MUSASHI Mixer AWATRON2 AW-MV310
386 NANO SOLTECH INC LASER STRIP M/K M/C NSCM-2000
387 Nanometrics Metrology Caliper Mosaic
388 Nanometrics Metrology Caliper Mosaic
389 Nanometrics Metrology Caliper Mosaic
390 Nanometrics Metrology NanoSpec 210
391 NEXX WET Stratus S300
392 NI TESTER ARMAR-RF
393 NI TESTER ARMAR-RF
394 Nikon Metrology OPTIPHOT 66
395 Nikon Scanner NSR-S204B
396 Nikon Scanner NSR-S204B
397 Nikon Scanner NSR-S205C
398 Nikon Scanner NSR-S609B
399 Nikon Stepper NSR-2005i9C
400 Nikon Stepper NSR-2005i9C
401 Nikon Stepper NSR-2205EX12B
402 Nikon Stepper NSR-2205EX12B
403 Nikon Stepper NSR-2205EX14C
404 Nikon Stepper NSR-2205EX14C
405 Nikon Stepper NSR-2205EX14C
406 Nikon Stepper NSR-2205EX14C
407 Nikon Stepper NSR-2205EX14C
408 Nikon Stepper NSR-2205i11D
409 Nikon Stepper NSR-2205i11D
410 Nikon Stepper NSR-SF120
411 Nikon Stepper NSR-SF130
412 Nikon Stepper NSR-TFHEX14C
413 Nissin Implant Exceed 2300AH
414 Nitto Detaper HR8500II
415 Novellus Asher Gamma2130
416 Novellus CVD C2 Speed Shrink
417 Novellus CVD C3 Speed MAX
418 Novellus CVD C3 Speed NEXT
419 Novellus CVD C3 Speed XT
420 Novellus CVD Vector
421 Novellus CVD Vector Express
422 Novellus CVD Vector Extreme
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
423 Novellus PVD Inova
424 Novellus PVD Inova
425 Novellus PVD Inova
426 Novellus PVD Inova Chamber
427 Novellus PVD Inova Chamber
428 Novellus PVD Inova NExT
429 Novellus PVD Inova NExT
430 Novellus PVD Inova XT
431 Novellus WET Sabre
432 Novellus WET Sabre 3D
433 Novellus WET Sabre XT
434 Novellus WET Sabre XT
435 NuFlare Metrology EBM-9500
436 OAI Metrology 358
437 OAI Metrology 358
438 OAI Metrology 358
439 Olympus Metrology BHMJL
440 Olympus Metrology BHMJL
441 Protec Dispenser PHANTASM
442 Protec Dispenser PHANTASM
443 Protec PKG SORTER PRO-6010
444 Protec PACKAGE MOUNTER PRO-6020
445 PSK Asher Tera 21
446 RAYNICS IRRADIATOR RX-C24
447 RORZE Metrology RSR160
448 Rudolph Metrology 3Di8500
449 Rudolph Metrology Axi-S
450 Rudolph Metrology Axi-S
451 Rudolph Metrology Axi-S
452 Rudolph Metrology NSX105
453 Rudolph Metrology NSX105
454 Rudolph Metrology S300
455 SAMSUNG OTRON OFF-LOADER SS0-JLU-046
456 SAMSUNG OTRON PICK AND PLACE SSO-JIS-027
457 SAMSUNG OTRON PICK AND PLACE SSO-JIS-027
458 SAMSUNG OTRON LOADER SSO-JLU-046
459 SCREEN CMP AS2000
460 SCREEN CMP AS2000
461 SCREEN CMP AS2000
462 SCREEN Track 80B
463 SCREEN Track 80B
464 SCREEN Track RF-300A
465 SCREEN Track SS-3000-A
466 SCREEN Track SS-3000-A
467 SCREEN Track SS-3000-A
468 SCREEN Track SS-3000-A
469 SCREEN Track SS-3000-A
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
470 SCREEN Track SS-3000-A
471 SCREEN Track SS-3000-AR
472 SCREEN Track SS-3000-AR
473 SCREEN Track SS-3000-AR
474 SCREEN Track SS-3000-AR
475 SCREEN Track SS-W80A-A
476 SCREEN Track SS-W80A-A
477 SCREEN Track SS-W80A-A
478 SCREEN WET FC-3000
479 SCREEN WET FC-3000
480 SCREEN WET FC-3000
481 SCREEN WET FC-3000
482 SCREEN WET FC-3000
483 SCREEN WET FC-3000
484 SCREEN WET SU-3100
485 SCREEN WET SU-3100
486 SCREEN WET WS-820C
487 Semitool WET Raider
488 Semitool WET Raider
489 Semitool WET Raider
490 Semitool WET Raider ECD
491 Semitool WET Raider ECD310
492 Semix Track TZP
493 SEZ WET SP201
494 SEZ WET SP201
495 SLK TEST OTHERS AME1000
496 SLK TEST OTHERS AME2000
497 SMC PASTE MIXER SPM-500D
498 SSO-SCS-S300 TEST OTHERS SS OTRON
499 SSO-SCS-S300 TEST OTHERS SS OTRON
500 SSP PKG SORTER APP-6000
501 SSP PKG SORTER APP-6000
502 SSP PICK AND PLACE APP-7000U
503 SSP SOLDER BALL ATTACH M BPS-6200
504 SSP FLUX CLEANER FPS-7000
505 SSP BOAT FEEDER LOADER
506 SSP CLEANER SWS-3000
507 STI LEAD INSPECTION M/C HEXA Maxx
508 SUHWOO HANDLER FL-1000
509 SUHWOO LOADER SAML+101
510 SUHWOO LOADER SAML+104(ONLOADER)
511 SUHWOO LOADER SAML+106(ONLOADER)
512 SUHWOO OFF-LOADER SAML+303(OFFLOADER)
513 SUHWOO OFF-LOADER SAML+305(OFFLOADER)
514 SUHWOO OFF-LOADER SAML+307(OFFLOADER))
515 Surftens Metrology Measurement
516 SVG Furnace 5204
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
517 SVG Furnace 5204
518 TEL CVD Trias
519 TEL CVD Trias
520 TEL CVD Trias
521 TEL CVD Trias
522 TEL CVD Trias
523 TEL CVD Trias
524 TEL CVD Trias
525 TEL CVD Trias
526 TEL CVD Trias
527 TEL CVD Trias SPA
528 TEL CVD Trias SPA
529 TEL Etch Certas LEAGA
530 TEL Etch Tactras
531 TEL Etch Telius SCCM Shin
532 TEL Etch Telius SCCM Shin
533 TEL Etch Telius SCCM Shin
534 TEL Etch Telius SCCM Shin
535 TEL Etch Telius SCCM Shin
536 TEL Etch Telius SCCM Shin
537 TEL Etch Telius SP 305 SCCM TE
538 TEL Etch Unity2e 855SS
539 TEL Etch Unity2e 855SS
540 TEL Etch Unity2e 855SS
541 TEL Etch Unity2e 85ADI
542 TEL Etch Unity2e 85DI
543 TEL Furnace Alpha-303i
544 TEL Furnace Alpha-303i
545 TEL Furnace Alpha-303i
546 TEL Furnace Alpha-303i
547 TEL Furnace Alpha-303i
548 TEL Furnace Alpha-303i
549 TEL Furnace Alpha-303i
550 TEL Furnace Alpha-303i
551 TEL Furnace Alpha-303i-K
552 TEL Furnace Alpha-303i-K
553 TEL Furnace Alpha-303i-K
554 TEL Furnace Alpha-303i-K
555 TEL Furnace Alpha-303i-K
556 TEL Furnace Alpha-303i-K
557 TEL Furnace Indy
558 TEL Furnace Indy Plus IRad
559 TEL Furnace Indy-A
560 TEL Furnace Indy-A
561 TEL Furnace Indy-B
562 TEL PVD MarkIV
563 TEL Track ACT12
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
564 TEL Track ACT8
565 TEL Track ACT8
566 TEL Track ACT8 Dual
567 TEL Track ACT8 Dual
568 TEL Track ACT8 Dual
569 TEL Track ACT8 Dual
570 TEL Track ACT8 Dual
571 TEL Track ACT8 Dual
572 TEL Track LITHIUS
573 TEL Track LITHIUS
574 TEL Track LITHIUS
575 TEL Track LITHIUS
576 TEL Track LITHIUS
577 TEL Track LITHIUS
578 TEL Track LITHIUS
579 TEL Track LITHIUS
580 TEL Track LITHIUS
581 TEL Track LITHIUS
582 TEL Track LITHIUS
583 TEL Track LITHIUS
584 TEL Track LITHIUS
585 TEL Track LITHIUS
586 TEL Track LITHIUS
587 TEL Track LITHIUS
588 TEL Track LITHIUS
589 TEL Track LITHIUS
590 TEL Track LITHIUS i+
591 TEL Track LITHIUS Pro Z
592 TEL Track NS300
593 TEL Track NS300
594 TEL WET EXPEDIUS
595 Teradyne TESTER Catalyst
596 Teradyne TESTER Catalyst
597 Teradyne Tester J750
598 Teradyne Tester J750EX
599 Teradyne TESTER UltraFLEX
600 Teradyne Tester UltraFLEX
601 TSM REFLOW M/C N70-I124SWH
602 Ultratech Stepper 1500
603 Ultratech Stepper 1500
604 Ultratech Stepper 1500
605 Ulvac PVD Ceraus ZX-1000
606 Ulvac PVD Ceraus ZX-1000
607 Ulvac PVD Ceraus ZX-1000
608 Ulvac PVD Ceraus ZX-1000
609 Ulvac PVD Entron EX
610 Ulvac PVD Entron EX W300
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
611 Ulvac PVD Entron EX W300
612 Ulvac PVD Entron S
613 Ulvac PVD Entron T
614 UNAXIS PVD LLS900
615 Varian PVD 3290
616 Veeco Metrology Dimension X1D
617 Veeco Metrology Dimension X3D
618 Veeco Metrology VX340
619 Verigy Tester Ag93000 C200E
620 Vision Semicon OVEN VSO-4CM
621 Vision Semicon PLASMA CLEANER VSP-88A(H)
622 WONIK IPS CVD MAHA SP
623 YES,INC UCSP BCB CURE YES-PBV300
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
r
Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm
Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm

More Related Content

What's hot

5-Terminal Components
5-Terminal Components5-Terminal Components
5-Terminal Components
Linn Liu
 
Crowcon Gas-Pro Portable Gas Detector
Crowcon Gas-Pro Portable Gas DetectorCrowcon Gas-Pro Portable Gas Detector
Crowcon Gas-Pro Portable Gas Detector
Thorne & Derrick UK
 
Power Transformers - Factory Acceptance Tests (FAT)
Power Transformers - Factory Acceptance Tests (FAT)Power Transformers - Factory Acceptance Tests (FAT)
Power Transformers - Factory Acceptance Tests (FAT)
Flevy.com Best Practices
 

What's hot (19)

Original N-Channel Mosfet IRFR224TRPBF FR224 224 TO-252 New Vishay Semiconductor
Original N-Channel Mosfet IRFR224TRPBF FR224 224 TO-252 New Vishay SemiconductorOriginal N-Channel Mosfet IRFR224TRPBF FR224 224 TO-252 New Vishay Semiconductor
Original N-Channel Mosfet IRFR224TRPBF FR224 224 TO-252 New Vishay Semiconductor
 
Transformer factory acceptance tests
Transformer factory acceptance testsTransformer factory acceptance tests
Transformer factory acceptance tests
 
Semiconductor equipment in USA May 30 2020
Semiconductor equipment in USA May 30 2020Semiconductor equipment in USA May 30 2020
Semiconductor equipment in USA May 30 2020
 
Original Analog Switch IC CD74HC4066PWR SN74HC4066DR 4066DR 4066PWR 4066 SOP...
Original Analog Switch IC CD74HC4066PWR  SN74HC4066DR 4066DR 4066PWR 4066 SOP...Original Analog Switch IC CD74HC4066PWR  SN74HC4066DR 4066DR 4066PWR 4066 SOP...
Original Analog Switch IC CD74HC4066PWR SN74HC4066DR 4066DR 4066PWR 4066 SOP...
 
My designs
My designsMy designs
My designs
 
Voltage drop
Voltage dropVoltage drop
Voltage drop
 
1 sv
1 sv1 sv
1 sv
 
Original N-Channel Mosfet IRFR014TRLPBF IRFR014 FR014 60V 4.9A TO-252F New Vi...
Original N-Channel Mosfet IRFR014TRLPBF IRFR014 FR014 60V 4.9A TO-252F New Vi...Original N-Channel Mosfet IRFR014TRLPBF IRFR014 FR014 60V 4.9A TO-252F New Vi...
Original N-Channel Mosfet IRFR014TRLPBF IRFR014 FR014 60V 4.9A TO-252F New Vi...
 
High Voltage Isolation Flyback Converter using LTspice
High Voltage Isolation Flyback Converter using LTspiceHigh Voltage Isolation Flyback Converter using LTspice
High Voltage Isolation Flyback Converter using LTspice
 
スパイス・パークの全リスト(2013年10月度)
スパイス・パークの全リスト(2013年10月度)スパイス・パークの全リスト(2013年10月度)
スパイス・パークの全リスト(2013年10月度)
 
Original Mosfet SM4024N SM4024NSU 4024 TO-252-3 New
Original Mosfet SM4024N SM4024NSU 4024 TO-252-3 NewOriginal Mosfet SM4024N SM4024NSU 4024 TO-252-3 New
Original Mosfet SM4024N SM4024NSU 4024 TO-252-3 New
 
5-Terminal Components
5-Terminal Components5-Terminal Components
5-Terminal Components
 
Crowcon Gas-Pro Portable Gas Detector
Crowcon Gas-Pro Portable Gas DetectorCrowcon Gas-Pro Portable Gas Detector
Crowcon Gas-Pro Portable Gas Detector
 
Update 55 models(Solar Cell) in SPICE PARK(AUG2021)
Update 55 models(Solar Cell) in SPICE PARK(AUG2021)Update 55 models(Solar Cell) in SPICE PARK(AUG2021)
Update 55 models(Solar Cell) in SPICE PARK(AUG2021)
 
Semiconductor equipment in USA May 26 2020
Semiconductor equipment in USA May 26 2020Semiconductor equipment in USA May 26 2020
Semiconductor equipment in USA May 26 2020
 
Semiconductor equipment may 20th 2020 1
Semiconductor equipment may 20th 2020  1Semiconductor equipment may 20th 2020  1
Semiconductor equipment may 20th 2020 1
 
Robotic suction sweepers
Robotic suction sweepersRobotic suction sweepers
Robotic suction sweepers
 
Power Transformers - Factory Acceptance Tests (FAT)
Power Transformers - Factory Acceptance Tests (FAT)Power Transformers - Factory Acceptance Tests (FAT)
Power Transformers - Factory Acceptance Tests (FAT)
 
ALL SPICE Model of APR2014 in SPICE PARK
ALL SPICE Model of APR2014 in SPICE PARKALL SPICE Model of APR2014 in SPICE PARK
ALL SPICE Model of APR2014 in SPICE PARK
 

Similar to Semicoductor equipment may19th 2020

LeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPicLeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPic
Istvan Fekete
 
Acrobat document4
Acrobat document4Acrobat document4
Acrobat document4
esregroup
 
CONSTRUCTION_ENG - on home w_0 Watermar logo
CONSTRUCTION_ENG - on home w_0 Watermar logoCONSTRUCTION_ENG - on home w_0 Watermar logo
CONSTRUCTION_ENG - on home w_0 Watermar logo
DRP Joint
 

Similar to Semicoductor equipment may19th 2020 (20)

Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
LeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPicLeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPic
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Semiconductor equipment parts in stock march 2020 part 2
Semiconductor equipment parts in stock march 2020   part 2Semiconductor equipment parts in stock march 2020   part 2
Semiconductor equipment parts in stock march 2020 part 2
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020
 
R2 2021 catalogue - sports eyewear, cycling helmets
R2 2021 catalogue - sports eyewear, cycling helmetsR2 2021 catalogue - sports eyewear, cycling helmets
R2 2021 catalogue - sports eyewear, cycling helmets
 
AurayMicrophones information and details.pdf
AurayMicrophones information and details.pdfAurayMicrophones information and details.pdf
AurayMicrophones information and details.pdf
 
Semiconductor equipment may 20th 2020 3
Semiconductor equipment may 20th 2020  3Semiconductor equipment may 20th 2020  3
Semiconductor equipment may 20th 2020 3
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
Acrobat document4
Acrobat document4Acrobat document4
Acrobat document4
 
Aw 90xer plasma etcher rie
Aw 90xer plasma etcher rieAw 90xer plasma etcher rie
Aw 90xer plasma etcher rie
 
R2 2020 catalogue
R2 2020 catalogueR2 2020 catalogue
R2 2020 catalogue
 
R2 2020 catalogus
R2 2020 catalogusR2 2020 catalogus
R2 2020 catalogus
 
Semiconductor chiller may 20th 2020
Semiconductor chiller may 20th 2020Semiconductor chiller may 20th 2020
Semiconductor chiller may 20th 2020
 
2007 ec&m catalog
2007 ec&m catalog2007 ec&m catalog
2007 ec&m catalog
 
CONSTRUCTION_ENG - on home w_0 Watermar logo
CONSTRUCTION_ENG - on home w_0 Watermar logoCONSTRUCTION_ENG - on home w_0 Watermar logo
CONSTRUCTION_ENG - on home w_0 Watermar logo
 

More from Emily Tan

More from Emily Tan (20)

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
 
Tester Equipment Parts Inventory
Tester Equipment Parts InventoryTester Equipment Parts Inventory
Tester Equipment Parts Inventory
 

Recently uploaded

Recently uploaded (20)

AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024
 
Top 10 Most Downloaded Games on Play Store in 2024
Top 10 Most Downloaded Games on Play Store in 2024Top 10 Most Downloaded Games on Play Store in 2024
Top 10 Most Downloaded Games on Play Store in 2024
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Manulife - Insurer Innovation Award 2024
Manulife - Insurer Innovation Award 2024Manulife - Insurer Innovation Award 2024
Manulife - Insurer Innovation Award 2024
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 

Semicoductor equipment may19th 2020

  • 1. Item Maker Category Model 1 Accretech Manual Spinner Cleaner ACS100A 2 Accretech Back Grinder Polisher PG300RM 3 ACQUTEK FLUX CLEANER AFC-1210A 4 Agilent Tester 4073A 5 Agilent Tester 4073B 6 Agilent Tester 4073B 7 Alcatel Etch Alcatel Gir 8 AMAT CMP Reflexion 9 AMAT CVD Centura AP UltimaX 10 AMAT CVD Centura AP UltimaX 11 AMAT CVD Centura AP UltimaX 12 AMAT CVD Centura AP UltimaX 13 AMAT CVD Centura AP UltimaX 14 AMAT CVD Centura AP UltimaX 15 AMAT CVD Centura AP UltimaX 16 AMAT CVD Centura AP UltimaX 17 AMAT CVD Centura AP UltimaX 18 AMAT CVD Centura AP UltimaX 19 AMAT CVD Centura AP UltimaX 20 AMAT CVD P5000 21 AMAT CVD P5000 22 AMAT CVD P5000 23 AMAT CVD P5000 24 AMAT CVD P5000 25 AMAT CVD Producer 26 AMAT CVD Producer 27 AMAT CVD Producer GT Chamber 28 AMAT CVD Producer Manual Cassette Loader 29 AMAT CVD Producer SE 30 AMAT CVD Producer SE 31 AMAT CVD Producer SE 32 AMAT Etch Centura Carina 33 AMAT Etch Centura DPS2 Metal 34 AMAT Etch Centura DPS2 Poly 35 AMAT Etch Centura DPS2 Poly 36 AMAT Etch Centura Enabler 37 AMAT Etch Centura Enabler 38 AMAT Etch Centura Enabler 39 AMAT Etch Centura Enabler 40 AMAT Etch Centura Enabler 41 AMAT Etch Centura Enabler 42 AMAT Etch Centura Enabler 43 AMAT Etch Centura Enabler 44 AMAT Implant Quantum XP 45 AMAT Implant Quantum XP 46 AMAT Implant Quantum XP Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 2. 47 AMAT Metrology DFinder2 48 AMAT Metrology DFinder2 49 AMAT Metrology DFinder2 50 AMAT Metrology DFinder2 51 AMAT Metrology DFinder2 52 AMAT Metrology Orbot WF720 53 AMAT Metrology UVision 4 54 AMAT Metrology UVision 5 55 AMAT Metrology UVision 5 56 AMAT Metrology WF720 57 AMAT Metrology WF730 58 AMAT PVD Endura 2 59 AMAT PVD Endura 2 60 AMAT PVD Endura 2 Chamber 61 AMAT PVD Endura CL 62 AMAT PVD Endura CL 63 AMAT PVD Endura CL Chamber 64 AMAT RTP AMC7800RPX 65 AMAT RTP AMC7811 66 AMAT RTP AMC7821 67 AMAT RTP AMC7821 68 AMKOR TESTER ARMAR-RF 69 AMKOR TESTER RFT 70 ANAM PICK AND PLACE SAML+101(JIGLOADER) 71 A-PET Etch 300mm NT-#102 72 APM SINGULATION M/C AP-0129 73 ASM CVD Dragon 2300 74 ASM CVD Eagle XP8 75 ASM CVD Eagle XP8 76 ASM CVD Eagle10 77 ASM CVD Eagle10 78 ASM CVD Eagle12 79 ASM CVD Eagle12 80 ASM Furnace A412 81 ASM WIRE BONDER Eagle Xtreme 82 ASM WIRE BONDER Eagle Xtreme 83 ASM WIRE BONDER Eagle Xtreme 84 ASM WIRE BONDER Eagle Xtreme 85 ASM WIRE BONDER Eagle Xtreme 86 ASM WIRE BONDER Eagle Xtreme 87 ASM Wire Bonder iHawk Xtreme 88 ASM Wire Bonder iHawk Xtreme 89 ASM Wire Bonder iHawk Xtreme 90 ASM Wire Bonder iHawk Xtreme 91 ASM Wire Bonder iHawk Xtreme 92 ASML Scanner AT850 93 ASML Scanner AT850T Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 3. 94 ASML Scanner NXT1950HI 95 ASML Scanner XT1250D 96 ASML Scanner XT1400E 97 ASML Scanner XT1400F 98 ASML Scanner XT1700FI 99 ASML Scanner XT760F 100 ASML Scanner XT850F 101 Asymtek Dispenser X1020 102 Asymtek ENCAP M/C X1020 103 Aviza/SVG Furnace 10K 104 Aviza/SVG Furnace 10K 105 Axcelis Asher Microlite 106 Axcelis Implant Optima HD 107 Axcelis Implant Paradigm XE 108 Axcelis Track RapidCure 320FC 109 BMR Etch ICP Etcher 110 BMR Etch ICP Etcher 111 Canon Scanner FPA-5000ES3 112 Canon Scanner FPA-6000ES6a 113 Canon Scanner FPA-6000ES6a 114 Canon Stepper FPA-3000i4 115 Canon Stepper FPA-3000i4 116 Canon Stepper FPA-5500iZ 117 Canon Stepper FPA-5500iZ 118 Canon Stepper FPA-5500iZ+ 119 CS ENG TEST OTHERS AREM0705 120 CS ENG Others MCS-5000 121 Cymer Scanner ELS-5410 122 DAESUNG MICRON SCREEN PRINTER DSMP 380V 123 DAGE X-RAY M/C XD7600NT 124 DEK SCREEN PRINTER Infinity Api 125 DEK SCREEN PRINTER Infinity Api 126 Disco Dicing Saw DFD6360 127 Disco Dicing Saw DFD6360 128 Disco Dicing Saw DFD6360 129 Disco Dicing Saw DFD6360 130 Disco Dicing Saw DFD6360 131 Disco Dicing Saw DFD6360 132 Dongyang LASER M/K M/C DLM-818MV 133 Dongyang LASER M/K M/C DLM-818MV 134 Duocom OVEN HS-2900A 135 Duocom OVEN HS-2954-9 136 DYNATECH WAFER LAMINATOR DT-CLR2030 137 EBARA CMP EPO-222 138 EBARA CMP EPO-222A 139 EBARA CMP EPO-222A 140 EBARA CMP EPO-222A Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 4. 141 EBARA CMP EPO-223 142 EBARA CMP EPO-223 143 EBARA CMP EPO-223 144 EBARA CMP F-REX200 145 EBARA CMP F-REX200 146 EBARA CMP F-REX300S 147 EBARA CMP F-REX300S 148 EBARA CMP F-REX300S 149 EBARA CMP F-REX300S 150 EBARA CMP F-REX300S 151 EBARA CMP F-REX300S 152 EBARA CMP F-REX300S 153 EBARA CMP F-REX300S 154 EBARA CMP F-REX300S2 155 EBARA CMP F-REX300S2 156 Electroglas Wafer Prober EG2001 157 Electroglas Wafer Prober EG2001CX 158 Electroglas Wafer Prober EG2001X 159 Electroglas Wafer Prober EG2001X 160 Electroglas Wafer Prober EG2001X 161 Electroglas Wafer Prober EG2001X 162 FEI Metrology Expida 163 FEI Metrology Tecnai F20S 164 FEI Metrology Tecnai G2 F20 165 FEI Metrology Tecnai G2 F20 166 Fico MOLD M/C AMSW40306 167 Fusion Track M150 168 Fusion Track M150PC 169 Fusion Track M150PC 170 GES CVD CAYMAN 171 GES CVD CAYMAN 172 GES CVD CAYMAN 173 GES CVD CAYMAN 174 GES CVD CAYMAN 175 GST FLUX CLEANER GFC-1310A 176 GST FLUX CLEANER GFC-1310A 177 HAANA TEST OTHERS HCM-2000 178 HAANA TEST OTHERS HUC-2000 179 HAANA PICK AND PLACE HPP-4000 180 HAANA OFF LOADER HOL-4000 181 Hanmi Semiconductor LEAD INSPECTION MACHINE 3D VISION 182 Hanmi Semiconductor LEAD INSPECTION MACHINE 3D VISION 183 Hanwha Screen Printer SP1-C 184 Heller REFLOW M/C 1706EXL 185 Heller REFLOW M/C 1706EXLN 186 Hermes Microvision Metrology eScan320 187 Hitachi Etch DM421P Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 5. 188 Hitachi Metrology I6300 189 Hitachi Metrology I6300 190 Hitachi Metrology IS2700 191 Hitachi Metrology RS3000 192 Hitachi Metrology RS3000T 193 Hitachi Metrology RS4000 194 Hitachi Metrology RS4000 195 Hitachi Metrology RS5000 196 Hitachi Metrology S-4800 197 Hitachi Metrology S-9380II 198 Hitachi Metrology S-9380II 199 Hitachi Metrology S-9380II 200 Hitachi Kokusai Asher RAM-8500II 201 Hitachi Kokusai Asher RAM-8500II 202 Hitachi Kokusai Asher RAM-8500II 203 Hitachi Kokusai Asher RAM-8500ZX 204 Hitachi Kokusai Furnace DD-1206V-DF 205 Hitachi Kokusai Furnace DD-1206V-DF 206 Hitachi Kokusai Furnace DD-1206V-DF 207 Hitachi Kokusai Furnace DD-1206V-DF 208 Hitachi Kokusai Furnace DD-1206V-DF 209 Hitachi Kokusai Furnace DD-1206V-DF 210 Hitachi Kokusai Furnace DD-1206V-DF 211 Hitachi Kokusai Furnace DD-1206VN-DF 212 Hitachi Kokusai Furnace DD-1206VN-DF 213 Hitachi Kokusai Furnace DD-1223VN 214 Hitachi Kokusai Furnace DD-1236VN-DF 215 Hitachi Kokusai Furnace DJ-1206VN-DM 216 Hitachi Kokusai Furnace DJ-1206VN-DM 217 Hitachi Kokusai Furnace DJ-1206VN-DM 218 Hitachi Kokusai Furnace DJ-1236VN-DF 219 Hitachi Kokusai Furnace Quixace Ultimate 220 Hitachi Kokusai Metrology VR-120SD 221 Horiba Metrology PR-PD2 222 ICOS LEAD INSPECTION M/C CI-T120 223 JEOL Metrology ARM200CF Super X 224 Kaijo Wire Bonder FB700 225 Kaijo Wire Bonder FB700 226 Kaijo Wire Bonder FB700 227 Kaijo Wire Bonder FB700 228 Karl SUSS Stepper MA150 229 Karl SUSS Stepper MA150 230 Karl SUSS Stepper MA200 231 Karl SUSS Stepper MA200 232 Karl SUSS Stepper MA200 233 Karl SUSS Wafer Bonder CB200M 234 Karl SUSS Wafer Bonder CBC200 Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 6. 235 KLA Metrology 3905 236 KLA Metrology 3905 237 KLA Metrology AIT 238 KLA Metrology AIT Fusion 239 KLA Metrology AIT XUV 240 KLA Metrology Aleris CX 241 KLA Metrology Aleris HX8500 242 KLA Metrology Archer 300 AIM 243 KLA Metrology Archer AIM MPX 244 KLA Metrology EDR5210 245 KLA Metrology EDR5210 246 KLA Metrology Ergolux 247 KLA Metrology HRP-340 248 KLA Metrology INM100+INS10 249 KLA Metrology KLA2371 250 KLA Metrology KLA5100 251 KLA Metrology LDS3300M 252 KLA Metrology MPV CD2 AMC 253 KLA Metrology MPV CD2 AMC 254 KLA Metrology MPV-CD 255 KLA Metrology NANOMAPPER 256 KLA Metrology Puma 9000 257 KLA Metrology Puma 9100 258 KLA Metrology Puma 9130 259 KLA Metrology SFS7700 260 KLA Metrology Surfscan 2.1 261 KLA Metrology Viper 262 KLA Metrology Viper 263 KNS WIRE BONDER MAXUM ULTRA 264 KNS WIRE BONDER MAXUM ULTRA 265 KNS WIRE BONDER MAXUM ULTRA 266 KNS WIRE BONDER MAXUM ULTRA 267 KNS WIRE BONDER MAXUM ULTRA 268 KNS WIRE BONDER MAXUM ULTRA 269 KNS WIRE BONDER MAXUM ULTRA 270 KNS WIRE BONDER MAXUM ULTRA 271 KNS WIRE BONDER MAXUM ULTRA 272 KNS WIRE BONDER MAXUM ULTRA 273 KNS WIRE BONDER MAXUM ULTRA 274 KNS WIRE BONDER MAXUM ULTRA 275 KNS WIRE BONDER MAXUM ULTRA 276 KNS WIRE BONDER MAXUM ULTRA 277 KNS WIRE BONDER MAXUM ULTRA 278 KNS WIRE BONDER MAXUM ULTRA 279 KNS WIRE BONDER MAXUM ULTRA 280 KNS WIRE BONDER MAXUM ULTRA 281 KNS WIRE BONDER MAXUM ULTRA Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 7. 282 KNS WIRE BONDER MAXUM ULTRA 283 KNS WIRE BONDER MAXUM ULTRA 284 KNS WIRE BONDER MAXUM ULTRA 285 KNS WIRE BONDER MAXUM ULTRA 286 KNS WIRE BONDER MAXUM ULTRA 287 KNS WIRE BONDER MAXUM ULTRA 288 KNS WIRE BONDER MAXUM ULTRA 289 KNS WIRE BONDER MAXUM ULTRA 290 KNS WIRE BONDER MAXUM ULTRA 291 KNS WIRE BONDER MAXUM ULTRA 292 KNS WIRE BONDER MAXUM ULTRA 293 KNS WIRE BONDER MAXUM ULTRA 294 KNS WIRE BONDER MAXUM ULTRA 295 KNS WIRE BONDER MAXUM ULTRA 296 KNS WIRE BONDER MAXUM ULTRA 297 KNS WIRE BONDER MAXUM ULTRA 298 KNS WIRE BONDER MAXUM ULTRA 299 KNS WIRE BONDER MAXUM ULTRA 300 KNS WIRE BONDER MAXUM ULTRA 301 KNS WIRE BONDER MAXUM ULTRA 302 KNS WIRE BONDER MAXUM ULTRA 303 KNS WIRE BONDER MAXUM ULTRA 304 KNS WIRE BONDER MAXUM ULTRA 305 KNS WIRE BONDER MAXUM ULTRA 306 KNS WIRE BONDER MAXUM ULTRA 307 KNS WIRE BONDER MAXUM ULTRA 308 KNS WIRE BONDER MAXUM ULTRA 309 KNS WIRE BONDER MAXUM ULTRA 310 KNS WIRE BONDER MAXUM ULTRA 311 KNS WIRE BONDER MAXUM ULTRA 312 KNS WIRE BONDER MAXUM ULTRA 313 KNS WIRE BONDER MAXUM ULTRA 314 KNS WIRE BONDER MAXUM ULTRA 315 KNS WIRE BONDER MAXUM ULTRA 316 KNS WIRE BONDER MAXUM ULTRA 317 KNS WIRE BONDER MAXUM ULTRA 318 KNS WIRE BONDER MAXUM ULTRA 319 KNS WIRE BONDER MAXUM ULTRA 320 KNS WIRE BONDER MAXUM ULTRA 321 KNS WIRE BONDER MAXUM ULTRA 322 KNS WIRE BONDER MAXUM ULTRA 323 KNS WIRE BONDER MAXUM ULTRA 324 KNS WIRE BONDER MAXUM ULTRA 325 KNS WIRE BONDER MAXUM ULTRA 326 KNS WIRE BONDER MAXUM ULTRA 327 KNS WIRE BONDER MAXUM ULTRA 328 KNS WIRE BONDER MAXUM ULTRA Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 8. 329 KNS WIRE BONDER MAXUM ULTRA 330 KNS WIRE BONDER MAXUM ULTRA 331 KNS WIRE BONDER MAXUM ULTRA 332 KNS WIRE BONDER MAXUM ULTRA 333 KNS WIRE BONDER MAXUM ULTRA 334 KNS WIRE BONDER MAXUM ULTRA 335 KNS WIRE BONDER MAXUM ULTRA 336 KNS WIRE BONDER MAXUM ULTRA 337 KNS WIRE BONDER MAXUM ULTRA 338 KNS WIRE BONDER MAXUM ULTRA 339 KNS WIRE BONDER MAXUM ULTRA 340 KNS WIRE BONDER MAXUM ULTRA 341 Komatsu Scanner G20K2-1 342 Komatsu Scanner G20K2-1 343 Komatsu Scanner G20K4-1 344 Komatsu Scanner G20K4-1 345 Komatsu Scanner G20K4-1 346 KOSES OFF LOADER KUM 100-M 347 KOSES OFF LOADER KUM 100-M 348 KOSES LOADER KUM100 349 KOSES OFF-LOADER KUM100 350 KOSES OFF-LOADER KUM100 351 KOSES OFF-LOADER KUM100 352 KOSES OFF-LOADER KUM100 353 KOSES SCREEN PRINTER KPM100 354 KOSES SCREEN PRINTER KPM100 355 KOSES SCREEN PRINTER KPM100 356 Lam Etch 2300 Exelan Flex45 357 Lam Etch Alliance TCP9600 358 Lam Etch R4520 359 Lam Etch R4520 360 LASERTEC Metrology BI100 361 leepack PACKING M/C M-22 362 LINTEC Taper RAD-3500F/8 363 Mattson Asher Aspen 3 364 Mattson Etch ParadigmE 365 Mattson Etch ParadigmE XP 366 Mattson RTP AST3000 367 Mattson RTP AST3000 368 Mattson RTP AST3000 369 Mattson RTP AST3000 370 Mattson RTP AST3000 plus 371 Mattson RTP Helios 372 Mattson RTP Helios 373 Mattson RTP Helios 374 Mattson RTP Helios 375 Mattson RTP Helios Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 9. 376 Mattson RTP Helios 377 Mattson RTP Helios 378 Mattson RTP Helios 379 Mattson RTP Helios 380 MICRON TEST OTHERS HP-20T 381 Mirtec AOI MV-8VDH 382 Montair Etch Prefurnace clean 383 Montair Etch Wafer etch 384 Multitest HANDLER INSTRIP 385 MUSASHI Mixer AWATRON2 AW-MV310 386 NANO SOLTECH INC LASER STRIP M/K M/C NSCM-2000 387 Nanometrics Metrology Caliper Mosaic 388 Nanometrics Metrology Caliper Mosaic 389 Nanometrics Metrology Caliper Mosaic 390 Nanometrics Metrology NanoSpec 210 391 NEXX WET Stratus S300 392 NI TESTER ARMAR-RF 393 NI TESTER ARMAR-RF 394 Nikon Metrology OPTIPHOT 66 395 Nikon Scanner NSR-S204B 396 Nikon Scanner NSR-S204B 397 Nikon Scanner NSR-S205C 398 Nikon Scanner NSR-S609B 399 Nikon Stepper NSR-2005i9C 400 Nikon Stepper NSR-2005i9C 401 Nikon Stepper NSR-2205EX12B 402 Nikon Stepper NSR-2205EX12B 403 Nikon Stepper NSR-2205EX14C 404 Nikon Stepper NSR-2205EX14C 405 Nikon Stepper NSR-2205EX14C 406 Nikon Stepper NSR-2205EX14C 407 Nikon Stepper NSR-2205EX14C 408 Nikon Stepper NSR-2205i11D 409 Nikon Stepper NSR-2205i11D 410 Nikon Stepper NSR-SF120 411 Nikon Stepper NSR-SF130 412 Nikon Stepper NSR-TFHEX14C 413 Nissin Implant Exceed 2300AH 414 Nitto Detaper HR8500II 415 Novellus Asher Gamma2130 416 Novellus CVD C2 Speed Shrink 417 Novellus CVD C3 Speed MAX 418 Novellus CVD C3 Speed NEXT 419 Novellus CVD C3 Speed XT 420 Novellus CVD Vector 421 Novellus CVD Vector Express 422 Novellus CVD Vector Extreme Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 10. 423 Novellus PVD Inova 424 Novellus PVD Inova 425 Novellus PVD Inova 426 Novellus PVD Inova Chamber 427 Novellus PVD Inova Chamber 428 Novellus PVD Inova NExT 429 Novellus PVD Inova NExT 430 Novellus PVD Inova XT 431 Novellus WET Sabre 432 Novellus WET Sabre 3D 433 Novellus WET Sabre XT 434 Novellus WET Sabre XT 435 NuFlare Metrology EBM-9500 436 OAI Metrology 358 437 OAI Metrology 358 438 OAI Metrology 358 439 Olympus Metrology BHMJL 440 Olympus Metrology BHMJL 441 Protec Dispenser PHANTASM 442 Protec Dispenser PHANTASM 443 Protec PKG SORTER PRO-6010 444 Protec PACKAGE MOUNTER PRO-6020 445 PSK Asher Tera 21 446 RAYNICS IRRADIATOR RX-C24 447 RORZE Metrology RSR160 448 Rudolph Metrology 3Di8500 449 Rudolph Metrology Axi-S 450 Rudolph Metrology Axi-S 451 Rudolph Metrology Axi-S 452 Rudolph Metrology NSX105 453 Rudolph Metrology NSX105 454 Rudolph Metrology S300 455 SAMSUNG OTRON OFF-LOADER SS0-JLU-046 456 SAMSUNG OTRON PICK AND PLACE SSO-JIS-027 457 SAMSUNG OTRON PICK AND PLACE SSO-JIS-027 458 SAMSUNG OTRON LOADER SSO-JLU-046 459 SCREEN CMP AS2000 460 SCREEN CMP AS2000 461 SCREEN CMP AS2000 462 SCREEN Track 80B 463 SCREEN Track 80B 464 SCREEN Track RF-300A 465 SCREEN Track SS-3000-A 466 SCREEN Track SS-3000-A 467 SCREEN Track SS-3000-A 468 SCREEN Track SS-3000-A 469 SCREEN Track SS-3000-A Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 11. 470 SCREEN Track SS-3000-A 471 SCREEN Track SS-3000-AR 472 SCREEN Track SS-3000-AR 473 SCREEN Track SS-3000-AR 474 SCREEN Track SS-3000-AR 475 SCREEN Track SS-W80A-A 476 SCREEN Track SS-W80A-A 477 SCREEN Track SS-W80A-A 478 SCREEN WET FC-3000 479 SCREEN WET FC-3000 480 SCREEN WET FC-3000 481 SCREEN WET FC-3000 482 SCREEN WET FC-3000 483 SCREEN WET FC-3000 484 SCREEN WET SU-3100 485 SCREEN WET SU-3100 486 SCREEN WET WS-820C 487 Semitool WET Raider 488 Semitool WET Raider 489 Semitool WET Raider 490 Semitool WET Raider ECD 491 Semitool WET Raider ECD310 492 Semix Track TZP 493 SEZ WET SP201 494 SEZ WET SP201 495 SLK TEST OTHERS AME1000 496 SLK TEST OTHERS AME2000 497 SMC PASTE MIXER SPM-500D 498 SSO-SCS-S300 TEST OTHERS SS OTRON 499 SSO-SCS-S300 TEST OTHERS SS OTRON 500 SSP PKG SORTER APP-6000 501 SSP PKG SORTER APP-6000 502 SSP PICK AND PLACE APP-7000U 503 SSP SOLDER BALL ATTACH M BPS-6200 504 SSP FLUX CLEANER FPS-7000 505 SSP BOAT FEEDER LOADER 506 SSP CLEANER SWS-3000 507 STI LEAD INSPECTION M/C HEXA Maxx 508 SUHWOO HANDLER FL-1000 509 SUHWOO LOADER SAML+101 510 SUHWOO LOADER SAML+104(ONLOADER) 511 SUHWOO LOADER SAML+106(ONLOADER) 512 SUHWOO OFF-LOADER SAML+303(OFFLOADER) 513 SUHWOO OFF-LOADER SAML+305(OFFLOADER) 514 SUHWOO OFF-LOADER SAML+307(OFFLOADER)) 515 Surftens Metrology Measurement 516 SVG Furnace 5204 Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 12. 517 SVG Furnace 5204 518 TEL CVD Trias 519 TEL CVD Trias 520 TEL CVD Trias 521 TEL CVD Trias 522 TEL CVD Trias 523 TEL CVD Trias 524 TEL CVD Trias 525 TEL CVD Trias 526 TEL CVD Trias 527 TEL CVD Trias SPA 528 TEL CVD Trias SPA 529 TEL Etch Certas LEAGA 530 TEL Etch Tactras 531 TEL Etch Telius SCCM Shin 532 TEL Etch Telius SCCM Shin 533 TEL Etch Telius SCCM Shin 534 TEL Etch Telius SCCM Shin 535 TEL Etch Telius SCCM Shin 536 TEL Etch Telius SCCM Shin 537 TEL Etch Telius SP 305 SCCM TE 538 TEL Etch Unity2e 855SS 539 TEL Etch Unity2e 855SS 540 TEL Etch Unity2e 855SS 541 TEL Etch Unity2e 85ADI 542 TEL Etch Unity2e 85DI 543 TEL Furnace Alpha-303i 544 TEL Furnace Alpha-303i 545 TEL Furnace Alpha-303i 546 TEL Furnace Alpha-303i 547 TEL Furnace Alpha-303i 548 TEL Furnace Alpha-303i 549 TEL Furnace Alpha-303i 550 TEL Furnace Alpha-303i 551 TEL Furnace Alpha-303i-K 552 TEL Furnace Alpha-303i-K 553 TEL Furnace Alpha-303i-K 554 TEL Furnace Alpha-303i-K 555 TEL Furnace Alpha-303i-K 556 TEL Furnace Alpha-303i-K 557 TEL Furnace Indy 558 TEL Furnace Indy Plus IRad 559 TEL Furnace Indy-A 560 TEL Furnace Indy-A 561 TEL Furnace Indy-B 562 TEL PVD MarkIV 563 TEL Track ACT12 Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 13. 564 TEL Track ACT8 565 TEL Track ACT8 566 TEL Track ACT8 Dual 567 TEL Track ACT8 Dual 568 TEL Track ACT8 Dual 569 TEL Track ACT8 Dual 570 TEL Track ACT8 Dual 571 TEL Track ACT8 Dual 572 TEL Track LITHIUS 573 TEL Track LITHIUS 574 TEL Track LITHIUS 575 TEL Track LITHIUS 576 TEL Track LITHIUS 577 TEL Track LITHIUS 578 TEL Track LITHIUS 579 TEL Track LITHIUS 580 TEL Track LITHIUS 581 TEL Track LITHIUS 582 TEL Track LITHIUS 583 TEL Track LITHIUS 584 TEL Track LITHIUS 585 TEL Track LITHIUS 586 TEL Track LITHIUS 587 TEL Track LITHIUS 588 TEL Track LITHIUS 589 TEL Track LITHIUS 590 TEL Track LITHIUS i+ 591 TEL Track LITHIUS Pro Z 592 TEL Track NS300 593 TEL Track NS300 594 TEL WET EXPEDIUS 595 Teradyne TESTER Catalyst 596 Teradyne TESTER Catalyst 597 Teradyne Tester J750 598 Teradyne Tester J750EX 599 Teradyne TESTER UltraFLEX 600 Teradyne Tester UltraFLEX 601 TSM REFLOW M/C N70-I124SWH 602 Ultratech Stepper 1500 603 Ultratech Stepper 1500 604 Ultratech Stepper 1500 605 Ulvac PVD Ceraus ZX-1000 606 Ulvac PVD Ceraus ZX-1000 607 Ulvac PVD Ceraus ZX-1000 608 Ulvac PVD Ceraus ZX-1000 609 Ulvac PVD Entron EX 610 Ulvac PVD Entron EX W300 Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 14. 611 Ulvac PVD Entron EX W300 612 Ulvac PVD Entron S 613 Ulvac PVD Entron T 614 UNAXIS PVD LLS900 615 Varian PVD 3290 616 Veeco Metrology Dimension X1D 617 Veeco Metrology Dimension X3D 618 Veeco Metrology VX340 619 Verigy Tester Ag93000 C200E 620 Vision Semicon OVEN VSO-4CM 621 Vision Semicon PLASMA CLEANER VSP-88A(H) 622 WONIK IPS CVD MAHA SP 623 YES,INC UCSP BCB CURE YES-PBV300 Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm
  • 15. r Email us for the availability pls. Appreciate your time! sales@semistarcorp.conm Location: Asia-SS5684 www.semistarcorp.com sales@semistarcorp.conm