SlideShare a Scribd company logo
1 of 43
This project has received funding from
the European Union’s Horizon 20 20
research and innovation programme
under grant agreement No 688403
www.tulipp.eu
TULIPP
Title :
Place :
Date :
Towards Ubiquitous Low-power Image Processing Platform
London, 10th Intelligent Imaging Event
29th of April 2019
Flemming Christensen
Sundance Multiprocessor Technology Ltd
• Everything started with a common need for
• High performance
• Real-time
• Low-Power
• Embedded
• Image processing applications
What is TULIPP?
Goal: Safer driving experience
Goal: Bring intelligence to the drones
Goal: Reduce Radiation Dose by 75%
What is TULIPP?
Tulipp: Bringing energy efficiency from chip level to system level
Intensive Image Processing Embedded
Constraints
Time-to-market / Cost-
sensitive
Source: http://www.lnci.org.au
The Reference Platform
Processor
IO
Memory
Component
tools
Operating
System
Toolchain
CPU
What is TULIPP?
(the concept)
Methodology to select the
best suitable components
for build power-efficient
image-processing platforms
How did we proceed ?
WP7: Management, Coordination
LABEL : Marketing, Ecosystem and Pre-normalisation
WP6: IP protection, Dissemination, Communication, Advisory Board
and Exploitation preparation
WP1: Reference platform definition
(Interfaces & implementation Rules)
Instantiations
WP2:
Hardware
WP4:
Programming
Toolchain
WP3:
Runtime, API,
Libraries & OS
feedback WP5 : Usecases description
and Integration and platform
validation
The Reference Platform in a book
Methodology captured
in a book
+
Guidelines to provide
expert hints on common
issues & valuable
implementation clues
• A guideline is insights that occurred while working on the project.
It can be a result of:
• running experiments
• our expertise
• reading other documents
• teaching something to "a student"
Like:
• Do not use floating point computation on FPGA
• Avoid using heavy libraries while writing source code for
embedded systems
• …
https://github.com/tulipp-eu/tulipp-guidelines
TULIPP Guidelines - more than 50 collected
The TULIPP HW platform: Find a chip!
The TULIPP HW platform: Select the chip
TULIPP reference platform interfaces
The TULIPP HW platform: Zynq CPU Module
The TULIPP HW platform: I/O versatile
The TULIPP HW platform: made for vision
The TULIPP hardware solution
The TULIPP HW platform: PC104 stackable
The TULIPP Operating system: HIPPEROS Maestro
M
A
E
S
T
R
O
Maestro, an RTOS for Multi-cores
The TULIPP Operating system: HIPPEROS Maestro
Maestro is designed for Real-Time applications
• Determinism & bounded guarantees
• Checks & controls deadlines of tasks with
Real-Time scheduling policies
• Resource usage is bounded and checked
The TULIPP Operating system: HIPPEROS Maestro
Maestro is a new micro-kernel
• No legacy from any former mono-core OS like
“Linux”
• Designed to leverage the power of multi-core
architectures
• Unlike other RTOS, Maestro is Multi-core at its
very heart
The TULIPP Operating system: HIPPEROS Maestro
Maestro is designed for embedded application
• small memory footprint
• embedded processors MultiCore ARM CPUs
• support FPGA technology
The TULIPP Operating system: HIPPEROS Maestro
Maestro is a full featured RTOS
• MMU support
• resource sharing
• usual OS services (timers, etc...)
The TULIPP Operating system: HIPPEROS Maestro
Click here to play on YouTube
STHEM: The TULIPP Tool-chain
Support uTilities for Heterogeneous EMbedded image
processing (STHEM)
Insights:
• Significant effort has been invested into the
development of vendor tools
• STHEM fills the productivity gaps between existing tools
• Extensions and Compatible with Xilinx Tools
STHEM: The TULIPP Tool-chain
• Supports development for all platform components
• Maps source files of the application to the
appropriate tool chain
• Retrieves OS configuration from the developer
Development and Mapping
STHEM: The TULIPP Tool-chain
• Boots OS with selected configuration (if needed due to
changed configuration)
• Updates files (binaries, bitfiles, etc.)
• Initialises the reconfigurable logic (if needed)
• Starts the application with the requested instrumentation
Runner
STHEM: The TULIPP Tool-chain
• Analyses performance results and presents
findings to the developer
Analyser
The Tool-chain – Interactive GUI
https://github.com/tulipp-eu/sthem
Embedded  Control the energy
• Heterogeneous platform  tasks mapping
• How to make sure we did the best mapping? (energy)
Intensive Image Processing Embedded
Constraints
Time-to-market / Cost-
sensitive
Source: http://www.lnci.org.au
The Lynsyn PWM board : the probe
The power consumption analyser
Design Space Exploration tool
How Lynsyn Works
Click here to play on YouTube
The Generic Development Process
Connect and
abstract
STHEM =
Supporting
uTilities for
Heterogeneous
Embedded image
processing
platforms
Support for TULIPP
platform instances
The Starter Kit: How does it works?
Goal-oriented
Advice
Instantiation
Recommended
Implementation
Methods
Project Applications
Platform Instance
end product
dependent
Several Instances from same reference
Advanced Driver Assistance Systems
• Pedestrian detection for collision avoidance
• Based on Viola-Jones object detection
• Operates on 640x480 24-bit images
Original
C/C++
code
Adapted
C/C++
code
Accelerated on the
Tulipp Platform
PC platform
150 W
10 s/frame
15 W
66 ms/frame
Unmanned Aerial Vehicle
𝐼left, 𝐼right
Obstacle
avoidance
Disparity
estimation
𝐷
Original
C/C++
code
Adapted
C/C++
code
Accelerated on the
Tulipp Platform
15 W
29 frame/s
29ms latency
Unmanned Aerial Vehicle
Click here to play on YouTube
Medical Use Case
Original
C/C++
code
Adapted
C/C++
code
Accelerated on the
Tulipp Platform
15 W
29 frame/s
29ms latency
• X-ray video for surgery
• Embedded on the sensor
• Lower radiation doses by factor 4
• Image denoise & enhancement
• 1024x1024 24-bit images
sensor
Medical UC
Noisy input
image
Denoised
image
Enhanced
image
+ rotation
Tulipp Endorsement – Advisory Member
Tulipp Endorsement - Advisory Member
TULIPP Starter Kit – Next Project?
• HW instance based on Xilinx Zynq UltraScale+
• Power aware RT operating system
• Toolchain support for efficient implementation
• Sample Applications
• The TULIPP Handbook

More Related Content

What's hot

Andes RISC-V vector extension demystified-tutorial
Andes RISC-V vector extension demystified-tutorialAndes RISC-V vector extension demystified-tutorial
Andes RISC-V vector extension demystified-tutorialRISC-V International
 
Fueling the datasphere how RISC-V enables the storage ecosystem
Fueling the datasphere   how RISC-V enables the storage ecosystemFueling the datasphere   how RISC-V enables the storage ecosystem
Fueling the datasphere how RISC-V enables the storage ecosystemRISC-V International
 
Chips alliance omni xtend overview
Chips alliance omni xtend overviewChips alliance omni xtend overview
Chips alliance omni xtend overviewRISC-V International
 
It just keeps getting better - SUSE enablement for Arm - Linaro HPC Workshop ...
It just keeps getting better - SUSE enablement for Arm - Linaro HPC Workshop ...It just keeps getting better - SUSE enablement for Arm - Linaro HPC Workshop ...
It just keeps getting better - SUSE enablement for Arm - Linaro HPC Workshop ...Linaro
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V International
 
HiPEAC 2019 Workshop - Hardware Starter Kit Agri
HiPEAC 2019 Workshop - Hardware Starter Kit Agri HiPEAC 2019 Workshop - Hardware Starter Kit Agri
HiPEAC 2019 Workshop - Hardware Starter Kit Agri Tulipp. Eu
 
HKG18- 115 - Partitioning ARM Systems with the Jailhouse Hypervisor
HKG18- 115 - Partitioning ARM Systems with the Jailhouse HypervisorHKG18- 115 - Partitioning ARM Systems with the Jailhouse Hypervisor
HKG18- 115 - Partitioning ARM Systems with the Jailhouse HypervisorLinaro
 
HKG18-318 - OpenAMP Workshop
HKG18-318 - OpenAMP WorkshopHKG18-318 - OpenAMP Workshop
HKG18-318 - OpenAMP WorkshopLinaro
 
An Open Hardware CPU written in VHDL, synthesized with Open Source tools
An Open Hardware CPU written in VHDL, synthesized with Open Source toolsAn Open Hardware CPU written in VHDL, synthesized with Open Source tools
An Open Hardware CPU written in VHDL, synthesized with Open Source toolsGanesan Narayanasamy
 
Coco co-desing and co-verification of masked software implementations on cp us
Coco   co-desing and co-verification of masked software implementations on cp usCoco   co-desing and co-verification of masked software implementations on cp us
Coco co-desing and co-verification of masked software implementations on cp usRISC-V International
 
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...RISC-V International
 
Building an open control stack for quantum computers using RISC-V ecosystems
Building an open control stack for quantum computers using RISC-V ecosystemsBuilding an open control stack for quantum computers using RISC-V ecosystems
Building an open control stack for quantum computers using RISC-V ecosystemsRISC-V International
 
Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...RISC-V International
 
Codasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutionsCodasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutionsRISC-V International
 
An open flow for dn ns on ultra low-power RISC-V cores
An open flow for dn ns on ultra low-power RISC-V coresAn open flow for dn ns on ultra low-power RISC-V cores
An open flow for dn ns on ultra low-power RISC-V coresRISC-V International
 
Educating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VEducating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VRISC-V International
 

What's hot (20)

Andes RISC-V vector extension demystified-tutorial
Andes RISC-V vector extension demystified-tutorialAndes RISC-V vector extension demystified-tutorial
Andes RISC-V vector extension demystified-tutorial
 
Fueling the datasphere how RISC-V enables the storage ecosystem
Fueling the datasphere   how RISC-V enables the storage ecosystemFueling the datasphere   how RISC-V enables the storage ecosystem
Fueling the datasphere how RISC-V enables the storage ecosystem
 
Chips alliance omni xtend overview
Chips alliance omni xtend overviewChips alliance omni xtend overview
Chips alliance omni xtend overview
 
It just keeps getting better - SUSE enablement for Arm - Linaro HPC Workshop ...
It just keeps getting better - SUSE enablement for Arm - Linaro HPC Workshop ...It just keeps getting better - SUSE enablement for Arm - Linaro HPC Workshop ...
It just keeps getting better - SUSE enablement for Arm - Linaro HPC Workshop ...
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmware
 
Secure IoT Firmware for RISC-V
Secure IoT Firmware for RISC-VSecure IoT Firmware for RISC-V
Secure IoT Firmware for RISC-V
 
HiPEAC 2019 Workshop - Hardware Starter Kit Agri
HiPEAC 2019 Workshop - Hardware Starter Kit Agri HiPEAC 2019 Workshop - Hardware Starter Kit Agri
HiPEAC 2019 Workshop - Hardware Starter Kit Agri
 
HKG18- 115 - Partitioning ARM Systems with the Jailhouse Hypervisor
HKG18- 115 - Partitioning ARM Systems with the Jailhouse HypervisorHKG18- 115 - Partitioning ARM Systems with the Jailhouse Hypervisor
HKG18- 115 - Partitioning ARM Systems with the Jailhouse Hypervisor
 
Andes RISC-V processor solutions
Andes RISC-V processor solutionsAndes RISC-V processor solutions
Andes RISC-V processor solutions
 
HKG18-318 - OpenAMP Workshop
HKG18-318 - OpenAMP WorkshopHKG18-318 - OpenAMP Workshop
HKG18-318 - OpenAMP Workshop
 
An Open Hardware CPU written in VHDL, synthesized with Open Source tools
An Open Hardware CPU written in VHDL, synthesized with Open Source toolsAn Open Hardware CPU written in VHDL, synthesized with Open Source tools
An Open Hardware CPU written in VHDL, synthesized with Open Source tools
 
Coco co-desing and co-verification of masked software implementations on cp us
Coco   co-desing and co-verification of masked software implementations on cp usCoco   co-desing and co-verification of masked software implementations on cp us
Coco co-desing and co-verification of masked software implementations on cp us
 
Security and functional safety
Security and functional safetySecurity and functional safety
Security and functional safety
 
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
 
Building an open control stack for quantum computers using RISC-V ecosystems
Building an open control stack for quantum computers using RISC-V ecosystemsBuilding an open control stack for quantum computers using RISC-V ecosystems
Building an open control stack for quantum computers using RISC-V ecosystems
 
Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...
 
Codasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutionsCodasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutions
 
An open flow for dn ns on ultra low-power RISC-V cores
An open flow for dn ns on ultra low-power RISC-V coresAn open flow for dn ns on ultra low-power RISC-V cores
An open flow for dn ns on ultra low-power RISC-V cores
 
Educating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VEducating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-V
 
Re-Vision stack presentation
Re-Vision stack presentationRe-Vision stack presentation
Re-Vision stack presentation
 

Similar to TULIPP at the 10th Intelligent Imaging Event

HiPEAC 2019 Workshop Overview
HiPEAC 2019 Workshop OverviewHiPEAC 2019 Workshop Overview
HiPEAC 2019 Workshop OverviewTulipp. Eu
 
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing HandbookTULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing HandbookSundance Multiprocessor Technology Ltd.
 
Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017
Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017
Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017Tulipp. Eu
 
HIPPEROS's at EMVA 2017
HIPPEROS's at EMVA 2017 HIPPEROS's at EMVA 2017
HIPPEROS's at EMVA 2017 Tulipp. Eu
 
HiPEAC 2019 Tutorial - Maestro RTOS
HiPEAC 2019 Tutorial - Maestro RTOSHiPEAC 2019 Tutorial - Maestro RTOS
HiPEAC 2019 Tutorial - Maestro RTOSTulipp. Eu
 
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...Tulipp. Eu
 
TULIPP H2020 Project: Low power high performance real-time computer vision on...
TULIPP H2020 Project: Low power high performance real-time computer vision on...TULIPP H2020 Project: Low power high performance real-time computer vision on...
TULIPP H2020 Project: Low power high performance real-time computer vision on...Tulipp. Eu
 
e-Infrastructure available for research, using the right tool for the right job
e-Infrastructure available for research, using the right tool for the right jobe-Infrastructure available for research, using the right tool for the right job
e-Infrastructure available for research, using the right tool for the right jobDavid Wallom
 
HiPEAC 2019 Tutorial - Sthem overview
HiPEAC 2019 Tutorial - Sthem overviewHiPEAC 2019 Tutorial - Sthem overview
HiPEAC 2019 Tutorial - Sthem overviewTulipp. Eu
 
The survey on real time operating systems (1)
The survey on real time operating systems (1)The survey on real time operating systems (1)
The survey on real time operating systems (1)manojkumarsmks
 
Deploying and Managing HPC Clusters with IBM Platform and Intel Xeon Phi Copr...
Deploying and Managing HPC Clusters with IBM Platform and Intel Xeon Phi Copr...Deploying and Managing HPC Clusters with IBM Platform and Intel Xeon Phi Copr...
Deploying and Managing HPC Clusters with IBM Platform and Intel Xeon Phi Copr...Intel IT Center
 
Debugging Numerical Simulations on Accelerated Architectures - TotalView fo...
 Debugging Numerical Simulations on Accelerated Architectures  - TotalView fo... Debugging Numerical Simulations on Accelerated Architectures  - TotalView fo...
Debugging Numerical Simulations on Accelerated Architectures - TotalView fo...Rogue Wave Software
 
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision SystemHai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision SystemAI Frontiers
 
OpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC SystemsOpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC SystemsHPCC Systems
 
Meetup Openshift Geneva 03/10
Meetup Openshift Geneva 03/10Meetup Openshift Geneva 03/10
Meetup Openshift Geneva 03/10MagaliDavidCruz
 
Tommaso Cucinotta - Low-latency and power-efficient audio applications on Linux
Tommaso Cucinotta - Low-latency and power-efficient audio applications on LinuxTommaso Cucinotta - Low-latency and power-efficient audio applications on Linux
Tommaso Cucinotta - Low-latency and power-efficient audio applications on Linuxlinuxlab_conf
 

Similar to TULIPP at the 10th Intelligent Imaging Event (20)

Sundance TULIPP Workshop at Nottingham Trent University
Sundance TULIPP Workshop at Nottingham Trent UniversitySundance TULIPP Workshop at Nottingham Trent University
Sundance TULIPP Workshop at Nottingham Trent University
 
HiPEAC 2019 Workshop Overview
HiPEAC 2019 Workshop OverviewHiPEAC 2019 Workshop Overview
HiPEAC 2019 Workshop Overview
 
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing HandbookTULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
 
Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017
Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017
Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017
 
HIPPEROS's at EMVA 2017
HIPPEROS's at EMVA 2017 HIPPEROS's at EMVA 2017
HIPPEROS's at EMVA 2017
 
HiPEAC 2019 Tutorial - Maestro RTOS
HiPEAC 2019 Tutorial - Maestro RTOSHiPEAC 2019 Tutorial - Maestro RTOS
HiPEAC 2019 Tutorial - Maestro RTOS
 
TULIPP at NMI 18-5-17
TULIPP at NMI 18-5-17TULIPP at NMI 18-5-17
TULIPP at NMI 18-5-17
 
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...
 
TULIPP H2020 Project: Low power high performance real-time computer vision on...
TULIPP H2020 Project: Low power high performance real-time computer vision on...TULIPP H2020 Project: Low power high performance real-time computer vision on...
TULIPP H2020 Project: Low power high performance real-time computer vision on...
 
HiPEAC 2018 - CPS, why all the fuss?
HiPEAC 2018 - CPS, why all the fuss?HiPEAC 2018 - CPS, why all the fuss?
HiPEAC 2018 - CPS, why all the fuss?
 
e-Infrastructure available for research, using the right tool for the right job
e-Infrastructure available for research, using the right tool for the right jobe-Infrastructure available for research, using the right tool for the right job
e-Infrastructure available for research, using the right tool for the right job
 
HiPEAC 2019 Tutorial - Sthem overview
HiPEAC 2019 Tutorial - Sthem overviewHiPEAC 2019 Tutorial - Sthem overview
HiPEAC 2019 Tutorial - Sthem overview
 
1570514051.pptx
1570514051.pptx1570514051.pptx
1570514051.pptx
 
The survey on real time operating systems (1)
The survey on real time operating systems (1)The survey on real time operating systems (1)
The survey on real time operating systems (1)
 
Deploying and Managing HPC Clusters with IBM Platform and Intel Xeon Phi Copr...
Deploying and Managing HPC Clusters with IBM Platform and Intel Xeon Phi Copr...Deploying and Managing HPC Clusters with IBM Platform and Intel Xeon Phi Copr...
Deploying and Managing HPC Clusters with IBM Platform and Intel Xeon Phi Copr...
 
Debugging Numerical Simulations on Accelerated Architectures - TotalView fo...
 Debugging Numerical Simulations on Accelerated Architectures  - TotalView fo... Debugging Numerical Simulations on Accelerated Architectures  - TotalView fo...
Debugging Numerical Simulations on Accelerated Architectures - TotalView fo...
 
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision SystemHai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
 
OpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC SystemsOpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC Systems
 
Meetup Openshift Geneva 03/10
Meetup Openshift Geneva 03/10Meetup Openshift Geneva 03/10
Meetup Openshift Geneva 03/10
 
Tommaso Cucinotta - Low-latency and power-efficient audio applications on Linux
Tommaso Cucinotta - Low-latency and power-efficient audio applications on LinuxTommaso Cucinotta - Low-latency and power-efficient audio applications on Linux
Tommaso Cucinotta - Low-latency and power-efficient audio applications on Linux
 

More from Sundance Multiprocessor Technology Ltd.

More from Sundance Multiprocessor Technology Ltd. (17)

Sundance Perception Blade
Sundance Perception BladeSundance Perception Blade
Sundance Perception Blade
 
Sundance's presentation at B:RAI 2020
Sundance's presentation at B:RAI 2020Sundance's presentation at B:RAI 2020
Sundance's presentation at B:RAI 2020
 
Sundance VCS-1 for Precision Robotics
Sundance VCS-1 for Precision RoboticsSundance VCS-1 for Precision Robotics
Sundance VCS-1 for Precision Robotics
 
System Design on Zynq using SDSoC
System Design on Zynq using SDSoCSystem Design on Zynq using SDSoC
System Design on Zynq using SDSoC
 
Moving object detection on FPGA
Moving object detection on FPGAMoving object detection on FPGA
Moving object detection on FPGA
 
ANPR FPGA Workshop
ANPR FPGA WorkshopANPR FPGA Workshop
ANPR FPGA Workshop
 
E3MV - Embedded Vision - Sundance
E3MV - Embedded Vision - SundanceE3MV - Embedded Vision - Sundance
E3MV - Embedded Vision - Sundance
 
Sundance HiPEAC 2018 Presentation
Sundance HiPEAC 2018 PresentationSundance HiPEAC 2018 Presentation
Sundance HiPEAC 2018 Presentation
 
Open VPX Tutorial
Open VPX TutorialOpen VPX Tutorial
Open VPX Tutorial
 
Stack PC in PC104 Land
Stack PC in PC104 LandStack PC in PC104 Land
Stack PC in PC104 Land
 
EMC2 Xilinx SDSoC presentation
EMC2 Xilinx SDSoC presentationEMC2 Xilinx SDSoC presentation
EMC2 Xilinx SDSoC presentation
 
Pc 104 series 1 application showcase
Pc 104 series 1 application showcasePc 104 series 1 application showcase
Pc 104 series 1 application showcase
 
Pc 104 express w. virtex 5-2014_5
Pc 104 express w. virtex 5-2014_5Pc 104 express w. virtex 5-2014_5
Pc 104 express w. virtex 5-2014_5
 
DM8168 Dual SuperHD image capture using DaVinci
DM8168 Dual SuperHD image capture using DaVinciDM8168 Dual SuperHD image capture using DaVinci
DM8168 Dual SuperHD image capture using DaVinci
 
Fixed-point Multi-Core DSP Application Examples
Fixed-point Multi-Core DSP Application ExamplesFixed-point Multi-Core DSP Application Examples
Fixed-point Multi-Core DSP Application Examples
 
Fixed-point Multi-Core DSP Platform
Fixed-point Multi-Core DSP PlatformFixed-point Multi-Core DSP Platform
Fixed-point Multi-Core DSP Platform
 
Sundance Profile 2014
Sundance Profile 2014Sundance Profile 2014
Sundance Profile 2014
 

Recently uploaded

Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 

Recently uploaded (20)

Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 

TULIPP at the 10th Intelligent Imaging Event

  • 1. This project has received funding from the European Union’s Horizon 20 20 research and innovation programme under grant agreement No 688403 www.tulipp.eu TULIPP Title : Place : Date : Towards Ubiquitous Low-power Image Processing Platform London, 10th Intelligent Imaging Event 29th of April 2019 Flemming Christensen Sundance Multiprocessor Technology Ltd
  • 2. • Everything started with a common need for • High performance • Real-time • Low-Power • Embedded • Image processing applications What is TULIPP? Goal: Safer driving experience Goal: Bring intelligence to the drones Goal: Reduce Radiation Dose by 75%
  • 3. What is TULIPP? Tulipp: Bringing energy efficiency from chip level to system level Intensive Image Processing Embedded Constraints Time-to-market / Cost- sensitive Source: http://www.lnci.org.au
  • 4. The Reference Platform Processor IO Memory Component tools Operating System Toolchain CPU What is TULIPP? (the concept) Methodology to select the best suitable components for build power-efficient image-processing platforms
  • 5. How did we proceed ? WP7: Management, Coordination LABEL : Marketing, Ecosystem and Pre-normalisation WP6: IP protection, Dissemination, Communication, Advisory Board and Exploitation preparation WP1: Reference platform definition (Interfaces & implementation Rules) Instantiations WP2: Hardware WP4: Programming Toolchain WP3: Runtime, API, Libraries & OS feedback WP5 : Usecases description and Integration and platform validation
  • 6. The Reference Platform in a book Methodology captured in a book + Guidelines to provide expert hints on common issues & valuable implementation clues
  • 7. • A guideline is insights that occurred while working on the project. It can be a result of: • running experiments • our expertise • reading other documents • teaching something to "a student" Like: • Do not use floating point computation on FPGA • Avoid using heavy libraries while writing source code for embedded systems • … https://github.com/tulipp-eu/tulipp-guidelines
  • 8. TULIPP Guidelines - more than 50 collected
  • 9. The TULIPP HW platform: Find a chip!
  • 10. The TULIPP HW platform: Select the chip
  • 12. The TULIPP HW platform: Zynq CPU Module
  • 13. The TULIPP HW platform: I/O versatile
  • 14. The TULIPP HW platform: made for vision
  • 16. The TULIPP HW platform: PC104 stackable
  • 17. The TULIPP Operating system: HIPPEROS Maestro M A E S T R O Maestro, an RTOS for Multi-cores
  • 18. The TULIPP Operating system: HIPPEROS Maestro Maestro is designed for Real-Time applications • Determinism & bounded guarantees • Checks & controls deadlines of tasks with Real-Time scheduling policies • Resource usage is bounded and checked
  • 19. The TULIPP Operating system: HIPPEROS Maestro Maestro is a new micro-kernel • No legacy from any former mono-core OS like “Linux” • Designed to leverage the power of multi-core architectures • Unlike other RTOS, Maestro is Multi-core at its very heart
  • 20. The TULIPP Operating system: HIPPEROS Maestro Maestro is designed for embedded application • small memory footprint • embedded processors MultiCore ARM CPUs • support FPGA technology
  • 21. The TULIPP Operating system: HIPPEROS Maestro Maestro is a full featured RTOS • MMU support • resource sharing • usual OS services (timers, etc...)
  • 22. The TULIPP Operating system: HIPPEROS Maestro Click here to play on YouTube
  • 23. STHEM: The TULIPP Tool-chain Support uTilities for Heterogeneous EMbedded image processing (STHEM) Insights: • Significant effort has been invested into the development of vendor tools • STHEM fills the productivity gaps between existing tools • Extensions and Compatible with Xilinx Tools
  • 24. STHEM: The TULIPP Tool-chain • Supports development for all platform components • Maps source files of the application to the appropriate tool chain • Retrieves OS configuration from the developer Development and Mapping
  • 25. STHEM: The TULIPP Tool-chain • Boots OS with selected configuration (if needed due to changed configuration) • Updates files (binaries, bitfiles, etc.) • Initialises the reconfigurable logic (if needed) • Starts the application with the requested instrumentation Runner
  • 26. STHEM: The TULIPP Tool-chain • Analyses performance results and presents findings to the developer Analyser
  • 27. The Tool-chain – Interactive GUI https://github.com/tulipp-eu/sthem
  • 28. Embedded  Control the energy • Heterogeneous platform  tasks mapping • How to make sure we did the best mapping? (energy) Intensive Image Processing Embedded Constraints Time-to-market / Cost- sensitive Source: http://www.lnci.org.au
  • 29. The Lynsyn PWM board : the probe
  • 32. How Lynsyn Works Click here to play on YouTube
  • 33. The Generic Development Process Connect and abstract STHEM = Supporting uTilities for Heterogeneous Embedded image processing platforms Support for TULIPP platform instances
  • 34. The Starter Kit: How does it works? Goal-oriented Advice Instantiation Recommended Implementation Methods Project Applications Platform Instance
  • 36. Advanced Driver Assistance Systems • Pedestrian detection for collision avoidance • Based on Viola-Jones object detection • Operates on 640x480 24-bit images Original C/C++ code Adapted C/C++ code Accelerated on the Tulipp Platform PC platform 150 W 10 s/frame 15 W 66 ms/frame
  • 37. Unmanned Aerial Vehicle 𝐼left, 𝐼right Obstacle avoidance Disparity estimation 𝐷 Original C/C++ code Adapted C/C++ code Accelerated on the Tulipp Platform 15 W 29 frame/s 29ms latency
  • 38. Unmanned Aerial Vehicle Click here to play on YouTube
  • 39. Medical Use Case Original C/C++ code Adapted C/C++ code Accelerated on the Tulipp Platform 15 W 29 frame/s 29ms latency • X-ray video for surgery • Embedded on the sensor • Lower radiation doses by factor 4 • Image denoise & enhancement • 1024x1024 24-bit images sensor
  • 41. Tulipp Endorsement – Advisory Member
  • 42. Tulipp Endorsement - Advisory Member
  • 43. TULIPP Starter Kit – Next Project? • HW instance based on Xilinx Zynq UltraScale+ • Power aware RT operating system • Toolchain support for efficient implementation • Sample Applications • The TULIPP Handbook

Editor's Notes

  1. Sundance – started in 1989 Sundance – ISO9001 Tulipp started on 1st Feb 2016 – Finished 31st January 2019
  2. The team came up with the Tulipp concept to solve this equation
  3. Explain how we got from use case specific description (in WP5) to a more generic model (in WP1). This generic model is called the reference platform and is used by WP2+3+4 to produce instances that are then used by WP5 to check if it helps achieving the goal. The KPI mesurement tells WP1 how far we get to the needs.
  4. The reference handbook is used both to produce platform instances AND for application developeprs to develop efficient implementations on the selected platform.
  5. Introduce the Starter KIT which is then described in the presentation: - the reference handbook - the hardware platform - the operating system - the STEM tool chain