SlideShare a Scribd company logo
1 of 8
Download to read offline
Full Paper
ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013

A New Design Technique to Reduce the Ground
Bounce Noise and Leakage in Four bit Binary Adder
Circuit
Shweta Singh1, Neelam arya2, Manisha Pattanaik2
2

1
ABVIIITM Gwalior, VLSI Group. E amil : shweta6cool@gmail.com
ABVIIITM Gwalior, VLSI Group. E amil : neelu9187@gmail.com, manishapattanaik@iiitm.ac.in

for sleep transistor is chosen due to fact that it restricts the
leakage current and Logic block consists of low voltage transistors to increase the performance of the circuit.[7][9]
In Fig.1 drain of the high threshold nMOS sleep transistor
is at voltage H” Vdd, when circuit is in standby mode the both
sleep transistor are turned off so low Vth block is disconnected
from supply and ground and leakage reduces, but when these
transistors switches from sleep mode to active mode, a surge
current flows due to discharge of the drain terminal of nMOS
sleep transistor, known as Ground Bounce Noise. Due to
Current surge produced during switching between sleep to
active mode MTCMOS Power Gating technique needs to
special attention to improve the reliability of the circuit.
Various MTCMOS techniques like tri-transistor controlled
MTCMOS, Dual switch MTCMOS, diode based trimode has
been proposed in past for reducing the leakage current in
standby mode and ground bounce noise (GBN) in sleep to
active mode transition of the circuit [8][13][15]. In various
power gating design uses the concept of extra wait state to
reduce the ground bounce noise [14]. In this paper different
multi threshold CMOS technique has been presented which
uses the concept of forward body biasing (FBB), reverse
body biasing (RBB) and ultra low power diode. Ground
Bounce noise during mode transition of sleep to active and
leakage phenomena in standby mode is investigated in this
paper.
Organization of the paper is as follows: Diode based
trimode power gating is discussed in section II, different
proposed leakage reduction and ground bounce reduction
techniques are discussed in section III, comparison analysis
of different power gating techniques for leakage and ground
bounce noise with change in body bias voltage, supply
voltage, temperature and wait transistor resizing is discussed
in section IV, further reduction of ground bounce noise in
proposed design with body bias voltage and transistor
resizing is discussed in section V, which is followed by
Conclusion in Section VI.

Abstract- The performance degradation with technology scaling
is one of the major issues in today’s life. Leakage power
dissipation in the IC increases exponentially with technology
continuously scaling down. Multi threshold CMOS Power
Gating is a very well known way to reduce leakage current,
but when circuit transition goes from sleep to active mode,
due to abrupt transitions introduces Ground Bounce Noise in
the circuit, it disturbs the normal working of any circuit and
tends to wrong output and also reduces the reliability of circuit.
In this paper two effective Power Gating techniques “Ultra
low power (ULP) diode based technique with parallel sleep
pMOS transistors” and “Single header based Ultra Low Power
diode with parallel sleep pMOS transistors” are proposed.
These are dealing with Ground Bounce Noise and Leakage
problem in the circuit. For that an additional wait mode and
extra header transistor is added in the circuit to reduce the
ground bounce noise. A comparison analysis between existing
and proposed power gating techniques has been done on 90nm
technology node, which shows that the proposed techniques
“Ultra low power diode based technique with parallel sleep
pMOS transistors” and “Single header based ultra Low Power
diode with parallel sleep pMOs transistors” reduces leakage
by 70.40 and 70.70% respectively and ground bounce noise by
10.38, 14.02% respectively in comparison to Diode Based
trimode power gating technique..
Keywords-Ground Bounce Noise, Leakage current, Ultra Low
Power Diode, Body biasing

I. INTRODUCTION
In advance CMOS technology with technology scaling
the supply voltage and the threshold voltage also continuously scaling down, due to which leakage power dissipation
increases in the circuit, it is one of the biggest challenges
related to low power designs in today’s life. Multi threshold
CMOS Power Gating is an efficient technique to suppress
the Leakage current, and to reduce the Leakage Power in the
circuit in standby mode [1][2][16]. In this power gating technique, a High Threshold (High-Vth) transistor is added either
between Supply Rail and Logic block (Header) or Logic block
and Ground Rail (Footer), or a combination of both is used
[4]. MTCMOS Power Gating is a technique in which certain
part of the chip turned off, when chip is idle. At the same
time, during low Vth circuit mode transition from sleep mode
to active mode, power gated circuit suffers from ground
Bounce Current due to sudden discharge path from virtual
Vdd to Ground line, as shown in Fig.1, which results from
parasitic inductance of circuit [3]. High Threshold voltage
© 2013 ACEEE
DOI: 01.IJSIP.4.3.1287

II. DIODE BASED T RIMODE TECHNIQUE
Diode Based Trimode Power gating technique shown in
(Fig.2). In this technique N1, N2 and P1 are high threshold
sleep nMOS and pMOS transistors. To make a CMOS diode
the gate of N2 transistor is connected to drain of N1 transistor
and transistor N1 and N2 are connected in series to make
transistor stacking to reduce the leakage current [5][11].The
26
Full Paper
ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013
Vgnd1 discharges up to threshold voltage of P1 transistor easily without increasing the width of transistor and the first
peak of ground bounce noise, coming across it, will increase.
To complete the reactivation cycle, transition of circuit goes
from wait to active mode in which sleep transistor P1 turns off
and transistors N1 and N2 are turned on subsequently. By
controlling the drain to source voltage of transistor N2 and
intermediate node voltage Vgnd2 the ground bounce noise can
be controlled more effectively. In wait to active mode transition the transistor N1 turns on first, at this moment the capacitance C1 starts charging. When the capacitor C1 is charged
up to the threshold value of transistor N2 the transistor N2
turns on and capacitor C1 starts discharging. Hence the
ground bounce noise reduces.

capacitor C1 is connected as shown in Fig.2 to control the
drain current of N1 transistor and to control the intermediate
node voltage Vgnd2. An additional wait mode is being introduced between sleep to active mode transition of circuit by
adding an extra pMOS transistor P1 in parallel [8]. Due to this
there are total three modes of operation sleep, wait and active.

Fig.1 Power gating circuit

The sub threshold Leakage current expression is given
by equation (1). [5]
Fig.2. Diode Based trimode technique

(1)

III. PROPOSED TECHNIQUES

(2)

A. ULP Diode with parallel sleep pMOS transistor
ULP diode : In the proposed Power Gating technique the
transistor P2 and N2 forms Ultra low power (ULP) diode as
shown in (Fig.3) [6][10]. The transistors N1, N2, P1, P2 and P3
are high threshold sleep pMOS and nMOS transistors. The
sleep transistor N1 is in series with ULP diode, used here to
reduce the leakage and ground bounce noise as discussed in
the previous section. The parallel sleep pMOS transistors P1
and P3 are used to add an extra wait state [8]. So there total
three modes of operation sleep, wait and active.
Ultra low power diode is the combination of nMOS and
pMOS transistors, which is used to reduce leakage current
more effectively. The weak inversion drain current for nMOS
and pMOS transistors are given by

Vgs,Vbs and Vds are the gate to source, bulk to source and
drain to source voltages respectively.Vth0 is the zero bias
threshold voltage, Cox is the gate oxide capacitance and μn is
mobility. η is the drain induced barrier lowering coefficient
(DIBL) and γ is the body effect coefficient. Equation (1) and
(2) shows leakage current reduces exponentially when drain
to source voltage decreases and if body effect increases
(negative Vbs).
When low threshold voltage adder circuit is in standby
mode all high threshold sleep nMOS and pMOS transistors
N1, N2 and P1 are turned off, due to this low Vth circuit
disconnected from supply and ground and leakage current
reduces. At the same time intermediate node Vgnd2 is charged
up to some positive potential due to some small drain current
of sleep nMOS transistor N1, the drain-to source potential
Vds1 of sleep transistor N1 reduces due to this intermediate
node voltage Vgnd2, It causes less drain induced barrier
lowering effect and negative body-to-source voltage Vbs1 of
sleep transistor N1 leads to body effect. In this way stacking
of transistor reduces sub threshold leakage in the circuit.
The transistor N2 is diode connected, when this diode is
reverse biased, the source is seemed to be connected at gate,
so drain to source voltage Vds of transistor N2 reduces and
due to this reduction in Vds of transistor N2 the leakage current
also reduces.
When circuit transition takes place from sleep to wait
mode the sleep transistor P1 turns on, but at the same time
transistor N1 and N2 are in cut-off state. Forward body biasing is used on wait transistor P1, due to which virtual ground
© 2013 ACEEE
DOI: 01.IJSIP.4.3.1287

(3)
(4)
Where Vgs and Vds are gate-to-source and drain-to-source
voltage respectively, n is body coefficient, VT = kT/q is the
thermal voltage and η is drain induced barrier lowering (DIBL)
coefficient. Isno and Ispo are reference currents that correspond
to the extrapolation of weak inversion drain current for Vgs=0
and Vds in saturation (but low enough to neglect DIBL).
When the adder circuit is in standby mode, Ultra low
power diode comes in reverse bias condition, and sleep
transistor P2 and N2 are operating with negative gate to source
voltage Vgs, it reduces the leakage current of the circuit. As
27
Full Paper
ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013
given in equation (1) and (2) the leakage current is directly
proportional to transistor width, if width (W) of transistor
decrease, leakage also reduces. In proposed design uses two
parallel wait sleep pMOS transistors P1 and P3, the width (W)
of transistors P1 and P3, shown in (Fig.3) is half of the width
of the transistor P1 of (Fig.2). So by decreasing the W of
transistor the leakage reduces here more effectively. To further
reduce the Leakage current uses reverse body biasing on
sleep pMOS transistors in standby mode. It increases the
threshold voltage of the transistors, so leakage of the circuit
reduces.
When low Vth circuit transition takes place from sleep to
active mode, it will go from sleep to wait mode, at this time
transistor P3 turns on but sleep transistors N1, N2, P1 and P2
are turned off, at the same time virtual ground voltage Vgnd1
discharges through P3 up to the threshold voltage Vtp3 of
sleep transistor P3. The first peak of ground bounce noise
comes across it. The virtual ground voltage can be discharged
easily by using forward body biasing (FBB) on sleep transistor P3 without increasing the size of wait transistor [12]. After
a small time second wait transistor P1 turns on and forward
body biasing is applied on it so again virtual ground voltage
is discharged through it up to the amount of threshold voltage Vtp1 of transistor P1 and a second peak of ground bounce
comes across it. The virtual ground can be discharged easily
by using Forward body biasing on P1 and P3 sleep transistors. To complete the reactivation process the circuit goes
from wait to active mode and transistor P1 and P3 turns off
and transistor N1 turns on, due to which capacitor C1 starts
charging. When capacitor is charged up to the threshold
voltage of transistor N2, the transistor N2 turns on and capacitor C1 starts discharging. In this way the use of transistor stacking, ultra low power diode and parallel pMOS sleep
transistor ’P3’ and body biasing reduces the Ground bounce
noise to a considerable amount.

connected in series to make stacking of transistor which is
helpful to reduce the Leakage current as discussed in section
II. Capacitor C1 is used to control the intermediate node
voltage Vgnd2 and Ground Bounce Noise. An extra header sleep
transistor is added here to reduce the leakage and the ground
bounce noise of the system.
When circuit is in standby mode all sleep transistors P1,
P2, P3, P4, N1 and N2 are turned off, the low Vth circuit
disconnected from supply and ground and leakage reduces.
At this time the intermediate node Vgnd2 charge up to some
positive potential due to small drain current of N1 transistor,
it reduces the drain to source voltage Vds1 of N1 transistor and
reduces leakage as shown in equation (1)(2). Due to stacking
of transistor by using Ultra Low Power diode leakage reduces
to a considerable amount as discussed in previous section.
Here uses extra parallel sleep pMOS transistor P1 and P3, whose
width (W) is half of the width of P1 sleep transistor of Fig.2
and uses the reverse body biasing on sleep wait pMOS
transistors P1 and P3.the reverse body biasing increases the
threshold voltage of the circuit and reduces. Due to reduction
of transistor width and using reverse body biasing on sleep
pMOS transistor in standby mode the leakage reduces to a
considerable amount.
When circuit transition takes place from sleep to wait
state, transistor P4 and P3 turn on, but other transistor P2, N1
and N2 are in cut-off state, the Virtual Vdd node voltage reduces
up to the threshold voltage P4 sleep transistor (Vdd-Vthp4), and
this voltage is discharge up to the threshold voltage of P3
sleep transistor, due to this the first peak of ground bounce
noise comes across P3 transistor, after a small time P1 transistor
turns on and the virtual Vdd node voltage discharge up to the
threshold voltage of P1 transistor and second peak of ground
bounce noise comes across it. To complete the reactivation
process the transition takes place from wait to active mode in
which transistor N1, N2 and P2 are turns on and the third peak
of Ground Bounce noise comes across it. By using forward
body biasing and resizing of wait transistor size the ground
bounce noise is further reduce to a considerable amount [12].

Fig.3. ULP Diode with parallel sleep pMOS transistor
Proposed design
Fig.4. Single header based ULP Diode with parallel sleep pMOS
transistor

A. Single header based ULP Diode with parallel sleep
pMOS transistor
In this proposed design transistor N1, N2, P1, P2, P3 and P4
are high threshold sleep transistors. Transistor P2 and N2 are
making Ultra low power diode as shown in Fig.4, which works
similar as discussed in the previous section. Transistor N1 is
© 2013 ACEEE
DOI: 01.IJSIP.4.3. 1287

IV. SIMULATION RESULT AND DISCUSSION
All simulation is done on four bit binary parallel adder
circuit. The block diagram of four bit binary adder is shown
28
Full Paper
ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013
in Fig.5. The basic circuit diagram of one bit full adder is
shown in Fig.6.

Fig.5 Four bit binary parallel adder block diagram
Fig.7. Leakage current analysis with change in body bias voltage

Fig.7 shows the leakage current comparison of four bit
binary parallel adder circuit for different power gating
techniques.
TABLE II. LEAKAGE CURRENT ANALYSIS FOR DIFFERENT POWER GATING TECHNIQUE
WITH CHANGE IN SUPPLY VOLTAGE

Power Gating
Technique
Diode based
trimode
ULP Diode
with parallel
sleep pMOS
tr ansistor
Single header
based ULP
Diode with
parallel sleep
pMOS
tr ansistor

Fig.6. One bit adder circuit diagram

1.4

Leakage current with change in supply voltage
1.2
1
0.8
0.6

8.72E-08 5.34E-09

1.48E-09

1.10E-09

8.15E-10

8.68E-08 4.76E-09

6.21E-10

4.56E-10

3.46E-10

8.68E-08 4.76E-09

6.17E-10

4.62E-11

2.07E-13

Table II shows the leakage current simulation result for
four bit full adder circuit for different power gating techniques
with change in supply voltage, it shows that with supply
voltage scaling the leakage current of the circuit also reduces.

A. Leakage Analysis
Leakage analysis of the four bit binary adder circuit in
standby mode is done in this section with different power
gating techniques. Leakage analysis on different Body bias
voltage on sleep transistors, for different supply voltage and
temperature has been analyzed in this section.
TABLE I. LEAKAGE CURRENT ANALYSIS FOR DIFFERENT POWER GATING TECHNIQUE
WITH CHANGE IN BODY BIAS VOLTAGE

Power Gating
Technique
Diode based
trimode

Leakage current with change in Body Bias voltage
1.4
1.2
1
0.8
0.6
1.47E-09 1.47E-09 1.48E-09 1.49E-09 1.54E-09

ULP Diode with
parallel sleep
2.73E-10 4.12E-10 6.21E-10 9.14E-10 1.25E-09
pMOS transistor
Single header
based ULP
Diode with
parallel sleep
pMOS
transistor

2.68E-10 4.08E-10 6.17E-10 9.11E-10 1.35E-09

Fig.9.Leakage current analysis for different power gating technique
with temperature

B. Ground Bounce Noise analysis
When the Power gating MTCMOS circuit transition takes
place from sleep to active mode there is a sudden discharge
path from supply to ground as shown in Fig.1, so due to this
a sudden discharge surge current comes from supply to
ground, which disturbs the circuit output transition to a wrong
output, this noise is called Ground bounce noise. Ground

Table I shows the simulation results for four bit binary
adder circuit for different power gating techniques. The result
shows that the leakage current of the circuit increases, when
applying forward body biasing on sleep transistors in sleep
mode and reduces when applying reverse body biasing on
sleep transistors in sleep mode.
© 2013 ACEEE
DOI: 01.IJSIP.4.3.1287

29
Full Paper
ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013
bounce noise for different body bias voltage on parallel sleep
wait pMOS transistors, for different size of wait pMOS
transistors, for different temperature, for different supply
voltage has been analyzed in this section. For modelling
ground bounce noise for different power gated circuit the
electrical characteristics of 40 pin dual in line package (DIP40) package model has been used as shown in Fig.10.

TABLE V. GROUND B OUNCE NOISE ANALYSIS FOR DIFFERENT POWER GATING
TECHNIQUE WITH CHANGE WAIT TRANSISTOR SIZE

Power Gating
technique
Diode based trimode
ULP Diode with
parallel sleep pMOS
transistor
Single header based
ULP Diode with
parallel sleep pMOS
transistor

GBN in mv with change in size of parallel sleep
pMOS Transi stor
1
3
6
9
13
15
1.17
1.2
1.39
1.52
1.68
1.75
0.9

1.27

1.49

1.64

1.83

1.92

0.88

1.23

1.43

1.57

1.73

1.80

Fig.10.DIP -40 Package Pin Ground Bounce Noise Model
TABLE IV. G ROUND BOUNCE NOISE ANALYSIS FOR DIFFERENT POWER GATING
TECHNIQUE WITH CHANGE IN BODY BIAS VOLTAGE

Power Gating technique
Diode based trimode
ULP Diode with parallel sleep
pMOS transistor
Single header based ULP Diode
with parallel sleep pMOS
transistor

GBN in mv with change in
Body Bias voltage
1.4 1.2
1
0.8 0.6
1.6 1.7 1.7 1.7 1.8
5
1
5
8
1.5 1.5 1.5 1.5 1.5
2
5
7
8
9
1.4
6

1.4
8

1.5
0

1.5
1

Fig.12.Ground Bounce Noise (GBN) analysis with change in wait
transistor size

1.5
2

TABLE VI. G ROUND BOUNCE NOISE ANALYSIS FOR DIFFERENT POWER GATING
TECHNIQUE WITH CHANGE IN T EMPERATURE

The table IV shows the simulation results of ground
bounce noise for four bit binary adder power gated circuit.
The result shows that by applying forward body biasing on
sleep wait transistor P1 and P3 the ground bounce noise peak
through theses sleep transistor increases.

Pow er G a ting
t ec hni que
Di ode ba sed tri mode
ULP D i ode w ith
pa ra ll el sl ee p pMO S
tra nsi stor
Si ngl e he ad er base d
ULP D i ode w ith
pa ra ll el sl ee p pMO S
tra nsi stor

Fig.11.Ground Bounce Noise (GBN) analysis with change in body
bias voltage

1.57

1.58

1.59

1.5

1.52

1.54

Fig.13.Ground Bounce Noise (GBN) analysis with change in
temperature in 0 C

The Ground Bounce noise analysis with change in body
bias voltage on parallel sleep pMOS transistors P1 and P3 for
different power gating circuit is shown in Fig.11.
Table V shows the simulation results of ground bounce
noise for four bit binary adder circuit with change in size of
wait sleep transistor P1 and P3 of different power gating techniques. It shows that as the size of wait transistor increases
the peak of ground bounce noise also increases.
Fig.12 shows the ground bounce analysis for different
power gating techniques with change in wait transistor size.
© 2013 ACEEE
DOI: 01.IJSIP.4.3. 1287

G BN in m v wit h cha nge i n T emp
25
45
65
1.752
1.772
1.798

Table VI shows the simulation results of ground bounce
noise for four bit binary adder circuit for different power gating techniques with change in temperature. The result shows
that the Ground bounce Noise increases with increases in
temperature.
The Ground bounce analysis with change in temperature
is shown in Fig.13 for different power gating techniques.
Table VII shows the simulation results of ground bounce
noise for different power gating techniques, for four bit
30
Full Paper
ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013
binary parallel adder circuit with change in supply voltage.
The simulation results on the four bit binary power gating
adder circuit shows that the ground bounce noise of the
circuit increases with increases in supply voltage and decreases with reduction in supply voltage.

wait transistor up to width of (P1=6um and P3=6um). By
reducing the wait transistor size the peak of ground bounce
noise across it reduces as already discussed in section.
Which is shown in Fig.17, from sleep to wait mode transition
the first peak and the second peak of ground bounce noise
reduces up to 1.441mv and 1.3423mv respectively and the
third peak voltage reduces up to 1.08mv from wait to active
mode transition. To further reduction of ground bounce noise
peak again resize the wait transistor size up to width of (P1=4um
and P3=4um), it will further reduce the ground bounce noise
first and second peak up to 1.33mv and 1.19mv during sleep
to wait mode transition and third peak up to1.058mv during
wait to active mode transition as shown in Fig.18 and table
VIII.

TABLE VII. GROUND BOUNCE NOISE ANALYSIS FOR DIFFERENT POWER GATING
TECHNIQUE WITH CHANGE IN SUPPLY VOLTAGE

Power Gating
techni que
Diode based
t ri mode
ULP Dio de with
paral lel sleep
pMOS transi stor
Si ngl e head er
based ULP Diode
wi th paral lel sleep
pMOS transi st or

GBN i n mv wit h change i n supply voltage
1.4
1.2
1
0.8
0.6
1.88

1.84

1.75

1.16

0.71

2.08

1.85

1.57

1.17

0.66

2.03

1.58

1.50

0.53

0.35

Table VIII. Ground Bounce Noise Peaks for different wait
transistors size and body bias voltage

Bodybias
Voltage
in V
BB=1
BB=0.8
BB=0.8
BB=0.8
BB=0.8

Peaks of G in mv
BN
Wait transistor size
W1=7.5um
W1=7.5um
W1=6um
W1=4um
W1=1.4um

W=7.5um
2
W=7.5um
2
W=6um
2
W=4um
2
W=2.5um
2

Peak1
1.51
1.51
1.44
1.33
1.03

Peak2 Peak3
1.35
1.43
1.34
1.19
1.03

1.11
1.09
1.08
1.06
1.03

Fig.14.Ground Bounce Noise (GBN) analysis with change in supply
volta ge

The ground bounce noise analysis with change in supply
voltage is shown in Fig.14.
V. FURTHER REDUCTION OF GROUND BOUNCE
By applying FBB and transistor resizing on “single header
based ultra low power diode with parallel sleep pMOS
transistor” the ground bounce noise can be further reduced
[17][18].
When the wait transistor size of P1=7.5um and P3=7.5 um
and body bias voltage is 1V in “Single header based Ultra
Low Power diode with parallel sleep pMOS transistor” the
first, second peak (during sleep to wait mode transition) and
third peak (during wait to active mode transition) of ground
bounce noise is shown in Fig.15 and voltage corresponding
to the peak are 1.505mv, 1.3525mv and 1.1126mv as shown in
table VIII.
To further reduce the peak of ground bounce noise
applying forward body biasing (Vb=0.8V) on sleep parallel
wait transistors P1 and P3. In this way from sleep to wait mode
transition the first peak and the second peak voltage of
Ground bounce noise increases here as shown in Fig.16 and
corresponding values 1.5147mv and 1.4321mv, and the third
peak of ground bounce noise from wait to active mode
transition reduces up to the voltage 1.0957mv.
To further reduce the ground bounce noise, resizing the
© 2013 ACEEE
DOI: 01.IJSIP.4.3. 1287

Fig.15.Ground Bounce Noise analysis with wait transistor size
(WP1 =7.5um and W P3=7.5um) and body bias voltage (Vb=Vdd=1V)

Fig.16.Ground Bounce Noise analysis with wait transistor size
(WP1=7.5um and W P3 =7.5um) and body bias voltage (Vb=0.8V)

31
Full Paper
ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013
To further reduce the peak of ground bounce noise try to
make such type of combination of wait transistor size so that
the all three peaks of ground bounce noise become equal in
amplitude as shown in Fig.19. In this case the Ground bounce
noise comes minimum in comparison to all other cases. For
this again resize the wait transistor size of P1 and P3 up size of
(P1=1.4um and P3=2.5um). At this combination of body biasing and transistor size the all three peaks of ground bounce
noise from sleep to wait mode and wait to active mode transition of the circuit become equal in amplitude that is 1.0287mv.

Fig.20.Ground Bounce Noise analysis with wait transistor size W P1
and WP3 and body bias voltage Vb

Fig.20 shows the Ground bounce noise comparison for
first, second and third peaks. It shows that all peaks of ground
bounce noise become equal in amplitude at wait transistor
size WP1=1.4um and WP3=2.5um at 0.8V body bias voltage.
VI. CONCLUSION
Leakage current reduction in standby mode and Ground
bounce noise reduction during mode transition for diode
based trimode technique, Ultra Low Power diode with parallel
sleep pMOs transistor with body biasing and Single header
based Ultra Low Power diode with parallel sleep pMOs
transistor with body biasing has been evaluated in this paper.
Use of transistor stacking, forward body biasing, reverse
body biasing, ultra low power diode and wait transistor
resizing reduces ground bounce noise and leakage current
to a considerable amount. Effect of wait transistor resizing,
temperature, body biasing on sleep transistor and supply
voltage on leakage and ground bounce noise have been
analyzed in this paper.
It has been observed that by using proposed design “Ultra
Low Power diode with parallel sleep pMOS transistor with
body biasing” and “Single header based Ultra Low Power
diode with parallel sleep pMOS transistor with body biasing”
Leakage can be reduces up to 70.40% and 70.70% respectively
by using reverse body biasing in comparison to Diode based
trimode technique and Ground bounce Noise can be reduced
by to 10.38% and 14.02% respectively in comparison to
“Diode based trimode technique”. With increment of
temperature and supply voltage the peaks of ground bounce
noise and leakage current increases. By reducing the wait
transistor size the ground bounce noise peak further reduces.

Fig.17.Ground Bounce Noise analysis with wait transistor size
(WP1 =6um and W P3 =6um) and body bias voltage (Vb=0.8V)

Fig.18.Ground Bounce Noise analysis with wait transistor size
(WP1 =4um and W P3 =4um) and body bias voltage (Vb=0.8V)

ACKNOWLEDGEMENT
As an author I wish to thank Dr. Manisha Pattanaik for
her constant support and motivation as a guide and as a
mentor. I also wish to appreciate Neelam arya for her support
and help during the tenure of this research. This work was
supported by the VLSI research group of ABV-IIITM, Gwalior.

Fig.19.Ground Bounce Noise analysis with wait transistor size
(WP1=2.5um and W P3 =1.4um) and body bias voltage (Vb=0.8V)

© 2013 ACEEE
DOI: 01.IJSIP.4.3.1287

32
Full Paper
ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013
REFERENCES

[10] Flandre, D.; Bulteel, O.; Gosset, G.; Rue, B.; Bol, D.; , “Ultralow-power analog and digital circuits and microsystems using
disruptive ultra-low-leakage design techniques,” Devices,
Circuits and Systems (ICCDCS), 2012 8th International
Caribbean Conference on , vol., no., pp.1-2, 14-17 March
2012.
[11] Manisha Pattanaik, Balwinder Raj, Shashikant Sharma and
Anjan Kumar,” Diode Based Trimode Multi-Threshold CMOS
Technique for Ground Bounce Noise Reduction in Static
CMOS Adders ”, International Conference on Electronics,
Nanomaterials and Components, Advanced Materials Research
, Vol. 548, pp. 885-889, China 2012
[12] Hailong Jiao; Kursun, V., “Sleep transistor forward body bias:
An Extra Knob to lower ground bouncing noise in MTCMOS
circuits,” SoC Design Conference (ISOCC), 2009 International
, vol., no., pp.216,219, 22-24 Nov. 2009
[13] Hailong Jiao; Kursun, V., “Ground Bouncing Noise Suppression
Techniques for Data Preserving Sequential MTCMOS
Circuits,” Very Large Scale Integration (VLSI) Systems, IEEE
Transactions on , vol.19, no.5, pp.763,773, May 2011
[14] Suhwan Kim; Kosonocky, S.V.; Knebel, D.R.; Stawiasz, K.;
Papaefthymiou, M.C., “A Multi-Mode Power Gating
Structure for Low-Voltage Deep-Submicron CMOS ICs,”
Circuits and Systems II: Express Briefs, IEEE Transactions
on , vol.54, no.7, pp.586,590, July 2007
[15] Hailong Jiao; Kursun, V., “Ground-Bouncing-Noise-Aware
Combinational MTCMOS Circuits,” Circuits and Systems I:
Regular Papers, IEEE Transactions on , vol.57, no.8,
pp.2053,2065, Aug. 2010
[16] Neema, V.; Chouhan, S.S.; Sanjiv Tokekar, “VSECURE: Active
& standby subthreshold leakage current reduction technique,”
Microelectronics (ICM), 2010 International Conference on ,
vol., no., pp.68,71, 19-22 Dec. 2010
[17] Hailong Jiao; Kursun, V., “How forward body bias helps to
reduce ground bouncing noise and silicon area in MTCMOS
circuits: Divulging the basic mechanism,” SoC Design
Conference (ISOCC), 2010 International , vol., no., pp.9,12,
22-23 Nov. 2010
[18] Hailong Jiao; Kursun, V., “Dynamic forward body bias enhanced
tri-mode MTCMOS,” Quality Electronic Design (ASQED),
2010 2nd Asia Symposium on , vol., no., pp.33,37, 3-4 Aug.
2010

[1] Singh, H.; Agarwal, K.; Sylvester, D.; Nowka, K.J., “Enhanced
Leakage Reduction Techniques Using Intermediate Strength
Power Gating,” Very Large Scale Integration (VLSI) Systems,
IEEE Transactions on , vol.15, no.11, pp.1215,1224, Nov.
2007
[2] S.G. Narendra and A. Chandrakasan, Leakage in Nanometer
CMOS Technologies. New York: Springer-verlag, 2006
[3] Suhwan Kim; Kosonocky, S.V.; Knebel, D.R., “Understanding
and minimizing ground bounce during mode transition of power
gating structures,” Low Power Electronics and Design, 2003.
ISLPED ’03. Proceedings of the 2003 International
Symposium on , vol., no., pp.22,25, 25-27 Aug. 2003
[4] Gautam, M.; Akashe, S., “Reduction of leakage current and
power in full subtractor using MTCMOS technique,”
Computer Communication and Informatics (ICCCI), 2013
International Conference on , vol., no., pp.1,4, 4-6 Jan. 2013
[5] Bhanuprakash, R.; Pattanaik, M.; Rajput, S.S.; Mazumdar, K.,
“Analysis and reduction of ground bounce noise and leakage
current during mode transition of stacking power gating logic
circuits,” TENCON 2009 - 2009 IEEE Region 10 Conference
, vol., no., pp.1,6, 23-26 Jan. 2009
[6] Levacq, D.; Dessard, V.; Flandre, D.; , “Low Leakage SOI
CMOS Static Memory Cell With Ultra-Low Power Diode,”
Solid-State Circuits, IEEE Journal of , vol.42, no.3, pp.689702, March 2007
[7] Rani, M. Janaki; Malarkkan, S., “Leakage power reduction in
CMOS modulo4 adder and modulo4 multiplier in sub-micron
technology,” Sustainable Energy and Intelligent Systems
(SEISCON 2011), International Conference on , vol., no.,
pp.593,597, 20-22 July 2011
[8] Hailong Jiao; Kursun, V., “Ground bouncing noise suppression
techniques for MTCMOS circuits,” Quality Electronic Design,
2009. ASQED 2009. 1st Asia Symposium on , vol., no.,
pp.64,70, 15-16 July 2009
[9] Pattanaik, M.; Agnihotri, S.; Varaprashad, M.V.D.L.; Arasu,
T.A., “Enhanced Ground Bounce Noise Reduction in a Low
Leakage 90nm 1-Volt CMOS Full Adder Cell,” Electronic
System Design (ISED), 2010 International Symposium on,
vol., no., pp.175,180, 20-22 Dec. 2010.

© 2013 ACEEE
DOI: 01.IJSIP.4.3.1287

33

More Related Content

What's hot

4 bit cmos full adder in submicron technology with low leakage and groun...
4 bit  cmos  full  adder  in submicron  technology with low leakage and groun...4 bit  cmos  full  adder  in submicron  technology with low leakage and groun...
4 bit cmos full adder in submicron technology with low leakage and groun...shireesha pallepati
 
Low Power Design - PPT 1
Low Power Design - PPT 1 Low Power Design - PPT 1
Low Power Design - PPT 1 Varun Bansal
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 
Multi carrier equalization by restoration of redundanc y (merry) for adaptive...
Multi carrier equalization by restoration of redundanc y (merry) for adaptive...Multi carrier equalization by restoration of redundanc y (merry) for adaptive...
Multi carrier equalization by restoration of redundanc y (merry) for adaptive...IJNSA Journal
 
2. ELECTRICAL NETWORK_ UNIT 2_chandra Shekhar K
2. ELECTRICAL NETWORK_ UNIT 2_chandra Shekhar K2. ELECTRICAL NETWORK_ UNIT 2_chandra Shekhar K
2. ELECTRICAL NETWORK_ UNIT 2_chandra Shekhar KCHANDRA SHEKHAR
 
Implementation and design Low power VCO
Implementation and design Low power VCOImplementation and design Low power VCO
Implementation and design Low power VCOijsrd.com
 
Design and Simulation of Low Noise Amplifiers at 180nm and 90nm Technologies
Design and Simulation of Low Noise Amplifiers at 180nm and 90nm TechnologiesDesign and Simulation of Low Noise Amplifiers at 180nm and 90nm Technologies
Design and Simulation of Low Noise Amplifiers at 180nm and 90nm TechnologiesIJERA Editor
 
Unit no. 5 cmos logic design
Unit no. 5 cmos logic designUnit no. 5 cmos logic design
Unit no. 5 cmos logic designswagatkarve
 
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORDESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORVLSICS Design
 
Frequency synthesizer(mm)
Frequency synthesizer(mm)Frequency synthesizer(mm)
Frequency synthesizer(mm)Mahendra Mishra
 
Design of Negative Resistance Oscillator with Rocord Low Phase Noise
Design of Negative Resistance Oscillator with Rocord Low Phase NoiseDesign of Negative Resistance Oscillator with Rocord Low Phase Noise
Design of Negative Resistance Oscillator with Rocord Low Phase NoiseTELKOMNIKA JOURNAL
 
Lect2 up080 (100324)
Lect2 up080 (100324)Lect2 up080 (100324)
Lect2 up080 (100324)aicdesign
 
direct coupled transistor logic
direct coupled transistor logicdirect coupled transistor logic
direct coupled transistor logicsonalijagtap15
 
A report on 2 to 1 mux using tg
A report on 2 to 1 mux using tgA report on 2 to 1 mux using tg
A report on 2 to 1 mux using tgvijay rastogi
 

What's hot (20)

Low power schmitt trigger
Low power schmitt triggerLow power schmitt trigger
Low power schmitt trigger
 
4 bit cmos full adder in submicron technology with low leakage and groun...
4 bit  cmos  full  adder  in submicron  technology with low leakage and groun...4 bit  cmos  full  adder  in submicron  technology with low leakage and groun...
4 bit cmos full adder in submicron technology with low leakage and groun...
 
Ep24889895
Ep24889895Ep24889895
Ep24889895
 
Low Power Design - PPT 1
Low Power Design - PPT 1 Low Power Design - PPT 1
Low Power Design - PPT 1
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Multi carrier equalization by restoration of redundanc y (merry) for adaptive...
Multi carrier equalization by restoration of redundanc y (merry) for adaptive...Multi carrier equalization by restoration of redundanc y (merry) for adaptive...
Multi carrier equalization by restoration of redundanc y (merry) for adaptive...
 
2. ELECTRICAL NETWORK_ UNIT 2_chandra Shekhar K
2. ELECTRICAL NETWORK_ UNIT 2_chandra Shekhar K2. ELECTRICAL NETWORK_ UNIT 2_chandra Shekhar K
2. ELECTRICAL NETWORK_ UNIT 2_chandra Shekhar K
 
CMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURESCMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURES
 
Implementation and design Low power VCO
Implementation and design Low power VCOImplementation and design Low power VCO
Implementation and design Low power VCO
 
Design and Simulation of Low Noise Amplifiers at 180nm and 90nm Technologies
Design and Simulation of Low Noise Amplifiers at 180nm and 90nm TechnologiesDesign and Simulation of Low Noise Amplifiers at 180nm and 90nm Technologies
Design and Simulation of Low Noise Amplifiers at 180nm and 90nm Technologies
 
Unit no. 5 cmos logic design
Unit no. 5 cmos logic designUnit no. 5 cmos logic design
Unit no. 5 cmos logic design
 
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORDESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
 
Frequency synthesizer(mm)
Frequency synthesizer(mm)Frequency synthesizer(mm)
Frequency synthesizer(mm)
 
Low power
Low powerLow power
Low power
 
Design of Negative Resistance Oscillator with Rocord Low Phase Noise
Design of Negative Resistance Oscillator with Rocord Low Phase NoiseDesign of Negative Resistance Oscillator with Rocord Low Phase Noise
Design of Negative Resistance Oscillator with Rocord Low Phase Noise
 
En34855860
En34855860En34855860
En34855860
 
Lect2 up080 (100324)
Lect2 up080 (100324)Lect2 up080 (100324)
Lect2 up080 (100324)
 
direct coupled transistor logic
direct coupled transistor logicdirect coupled transistor logic
direct coupled transistor logic
 
A report on 2 to 1 mux using tg
A report on 2 to 1 mux using tgA report on 2 to 1 mux using tg
A report on 2 to 1 mux using tg
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 

Similar to A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four bit Binary Adder Circuit

A novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesA novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesVLSICS Design
 
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESA NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESVLSICS Design
 
11.low power schmitt trigger
11.low power schmitt trigger11.low power schmitt trigger
11.low power schmitt triggerAlexander Decker
 
LOW VOLTAGE LOW DROPOUT REGULATOR USING CURRENT SPLITTING TECHNIQUE
LOW VOLTAGE LOW DROPOUT REGULATOR USING CURRENT SPLITTING TECHNIQUELOW VOLTAGE LOW DROPOUT REGULATOR USING CURRENT SPLITTING TECHNIQUE
LOW VOLTAGE LOW DROPOUT REGULATOR USING CURRENT SPLITTING TECHNIQUEEditor IJMTER
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSVLSICS Design
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSVLSICS Design
 
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...Associate Professor in VSB Coimbatore
 
final thesis pptReductions of leakage and ground bounce noise in.ppt
final thesis pptReductions of leakage and ground bounce noise in.pptfinal thesis pptReductions of leakage and ground bounce noise in.ppt
final thesis pptReductions of leakage and ground bounce noise in.pptDrVikasMahor
 
Design And Analysis Of Low Power High Performance Single Bit Full Adder
Design And Analysis Of Low Power High Performance Single Bit Full AdderDesign And Analysis Of Low Power High Performance Single Bit Full Adder
Design And Analysis Of Low Power High Performance Single Bit Full AdderIJTET Journal
 
A Passive Lossless Soft-Switching Snubber for Telecom Power Supplies
A Passive Lossless Soft-Switching Snubber for Telecom Power SuppliesA Passive Lossless Soft-Switching Snubber for Telecom Power Supplies
A Passive Lossless Soft-Switching Snubber for Telecom Power SuppliesIJTET Journal
 
Design of A Low Power Low Voltage CMOS Opamp
Design of A Low Power Low Voltage CMOS OpampDesign of A Low Power Low Voltage CMOS Opamp
Design of A Low Power Low Voltage CMOS OpampVLSICS Design
 
Review of Step down Converter with Efficient ZVS Operation
Review of Step down Converter with Efficient ZVS OperationReview of Step down Converter with Efficient ZVS Operation
Review of Step down Converter with Efficient ZVS OperationIJRST Journal
 
Design of Ota-C Filter for Biomedical Applications
Design of Ota-C Filter for Biomedical ApplicationsDesign of Ota-C Filter for Biomedical Applications
Design of Ota-C Filter for Biomedical ApplicationsIOSR Journals
 
Diodo de Tunelamento Ressonante: Teoria de operação e aplicações
Diodo de Tunelamento Ressonante: Teoria de operação e aplicaçõesDiodo de Tunelamento Ressonante: Teoria de operação e aplicações
Diodo de Tunelamento Ressonante: Teoria de operação e aplicaçõesREGIANE APARECIDA RAGI PEREIRA
 
Ground Bounce Noise Reduction in Vlsi Circuits
Ground Bounce Noise Reduction in Vlsi CircuitsGround Bounce Noise Reduction in Vlsi Circuits
Ground Bounce Noise Reduction in Vlsi CircuitsIJERA Editor
 
Design of a Low Power Low Voltage CMOS Opamp
Design of a Low Power Low Voltage CMOS Opamp  Design of a Low Power Low Voltage CMOS Opamp
Design of a Low Power Low Voltage CMOS Opamp VLSICS Design
 
A low quiescent current low dropout voltage regulator with self-compensation
A low quiescent current low dropout voltage regulator with self-compensationA low quiescent current low dropout voltage regulator with self-compensation
A low quiescent current low dropout voltage regulator with self-compensationjournalBEEI
 

Similar to A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four bit Binary Adder Circuit (20)

Cp4201612617
Cp4201612617Cp4201612617
Cp4201612617
 
A novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesA novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologies
 
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESA NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
 
11.low power schmitt trigger
11.low power schmitt trigger11.low power schmitt trigger
11.low power schmitt trigger
 
F0333237
F0333237F0333237
F0333237
 
LOW VOLTAGE LOW DROPOUT REGULATOR USING CURRENT SPLITTING TECHNIQUE
LOW VOLTAGE LOW DROPOUT REGULATOR USING CURRENT SPLITTING TECHNIQUELOW VOLTAGE LOW DROPOUT REGULATOR USING CURRENT SPLITTING TECHNIQUE
LOW VOLTAGE LOW DROPOUT REGULATOR USING CURRENT SPLITTING TECHNIQUE
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
 
final thesis pptReductions of leakage and ground bounce noise in.ppt
final thesis pptReductions of leakage and ground bounce noise in.pptfinal thesis pptReductions of leakage and ground bounce noise in.ppt
final thesis pptReductions of leakage and ground bounce noise in.ppt
 
Design And Analysis Of Low Power High Performance Single Bit Full Adder
Design And Analysis Of Low Power High Performance Single Bit Full AdderDesign And Analysis Of Low Power High Performance Single Bit Full Adder
Design And Analysis Of Low Power High Performance Single Bit Full Adder
 
A Passive Lossless Soft-Switching Snubber for Telecom Power Supplies
A Passive Lossless Soft-Switching Snubber for Telecom Power SuppliesA Passive Lossless Soft-Switching Snubber for Telecom Power Supplies
A Passive Lossless Soft-Switching Snubber for Telecom Power Supplies
 
Design of A Low Power Low Voltage CMOS Opamp
Design of A Low Power Low Voltage CMOS OpampDesign of A Low Power Low Voltage CMOS Opamp
Design of A Low Power Low Voltage CMOS Opamp
 
Review of Step down Converter with Efficient ZVS Operation
Review of Step down Converter with Efficient ZVS OperationReview of Step down Converter with Efficient ZVS Operation
Review of Step down Converter with Efficient ZVS Operation
 
I046044854
I046044854I046044854
I046044854
 
Design of Ota-C Filter for Biomedical Applications
Design of Ota-C Filter for Biomedical ApplicationsDesign of Ota-C Filter for Biomedical Applications
Design of Ota-C Filter for Biomedical Applications
 
Diodo de Tunelamento Ressonante: Teoria de operação e aplicações
Diodo de Tunelamento Ressonante: Teoria de operação e aplicaçõesDiodo de Tunelamento Ressonante: Teoria de operação e aplicações
Diodo de Tunelamento Ressonante: Teoria de operação e aplicações
 
Ground Bounce Noise Reduction in Vlsi Circuits
Ground Bounce Noise Reduction in Vlsi CircuitsGround Bounce Noise Reduction in Vlsi Circuits
Ground Bounce Noise Reduction in Vlsi Circuits
 
Design of a Low Power Low Voltage CMOS Opamp
Design of a Low Power Low Voltage CMOS Opamp  Design of a Low Power Low Voltage CMOS Opamp
Design of a Low Power Low Voltage CMOS Opamp
 
A low quiescent current low dropout voltage regulator with self-compensation
A low quiescent current low dropout voltage regulator with self-compensationA low quiescent current low dropout voltage regulator with self-compensation
A low quiescent current low dropout voltage regulator with self-compensation
 

More from IDES Editor

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A ReviewIDES Editor
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...IDES Editor
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...IDES Editor
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...IDES Editor
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCIDES Editor
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...IDES Editor
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingIDES Editor
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...IDES Editor
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsIDES Editor
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...IDES Editor
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...IDES Editor
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkIDES Editor
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetIDES Editor
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyIDES Editor
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’sIDES Editor
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...IDES Editor
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance AnalysisIDES Editor
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesIDES Editor
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...IDES Editor
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...IDES Editor
 

More from IDES Editor (20)

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive Thresholds
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through Steganography
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’s
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance Analysis
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
 

Recently uploaded

ClimART Action | eTwinning Project
ClimART Action    |    eTwinning ProjectClimART Action    |    eTwinning Project
ClimART Action | eTwinning Projectjordimapav
 
Mythology Quiz-4th April 2024, Quiz Club NITW
Mythology Quiz-4th April 2024, Quiz Club NITWMythology Quiz-4th April 2024, Quiz Club NITW
Mythology Quiz-4th April 2024, Quiz Club NITWQuiz Club NITW
 
Active Learning Strategies (in short ALS).pdf
Active Learning Strategies (in short ALS).pdfActive Learning Strategies (in short ALS).pdf
Active Learning Strategies (in short ALS).pdfPatidar M
 
4.16.24 Poverty and Precarity--Desmond.pptx
4.16.24 Poverty and Precarity--Desmond.pptx4.16.24 Poverty and Precarity--Desmond.pptx
4.16.24 Poverty and Precarity--Desmond.pptxmary850239
 
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQ-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQuiz Club NITW
 
4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptxmary850239
 
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptxINTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptxHumphrey A Beña
 
Using Grammatical Signals Suitable to Patterns of Idea Development
Using Grammatical Signals Suitable to Patterns of Idea DevelopmentUsing Grammatical Signals Suitable to Patterns of Idea Development
Using Grammatical Signals Suitable to Patterns of Idea Developmentchesterberbo7
 
MS4 level being good citizen -imperative- (1) (1).pdf
MS4 level   being good citizen -imperative- (1) (1).pdfMS4 level   being good citizen -imperative- (1) (1).pdf
MS4 level being good citizen -imperative- (1) (1).pdfMr Bounab Samir
 
Oppenheimer Film Discussion for Philosophy and Film
Oppenheimer Film Discussion for Philosophy and FilmOppenheimer Film Discussion for Philosophy and Film
Oppenheimer Film Discussion for Philosophy and FilmStan Meyer
 
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...Nguyen Thanh Tu Collection
 
Congestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationCongestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationdeepaannamalai16
 
Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4JOYLYNSAMANIEGO
 
Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxGrade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxkarenfajardo43
 
Team Lead Succeed – Helping you and your team achieve high-performance teamwo...
Team Lead Succeed – Helping you and your team achieve high-performance teamwo...Team Lead Succeed – Helping you and your team achieve high-performance teamwo...
Team Lead Succeed – Helping you and your team achieve high-performance teamwo...Association for Project Management
 
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptxDecoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptxDhatriParmar
 
Mental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsMental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsPooky Knightsmith
 
Expanded definition: technical and operational
Expanded definition: technical and operationalExpanded definition: technical and operational
Expanded definition: technical and operationalssuser3e220a
 

Recently uploaded (20)

prashanth updated resume 2024 for Teaching Profession
prashanth updated resume 2024 for Teaching Professionprashanth updated resume 2024 for Teaching Profession
prashanth updated resume 2024 for Teaching Profession
 
ClimART Action | eTwinning Project
ClimART Action    |    eTwinning ProjectClimART Action    |    eTwinning Project
ClimART Action | eTwinning Project
 
Mythology Quiz-4th April 2024, Quiz Club NITW
Mythology Quiz-4th April 2024, Quiz Club NITWMythology Quiz-4th April 2024, Quiz Club NITW
Mythology Quiz-4th April 2024, Quiz Club NITW
 
Active Learning Strategies (in short ALS).pdf
Active Learning Strategies (in short ALS).pdfActive Learning Strategies (in short ALS).pdf
Active Learning Strategies (in short ALS).pdf
 
4.16.24 Poverty and Precarity--Desmond.pptx
4.16.24 Poverty and Precarity--Desmond.pptx4.16.24 Poverty and Precarity--Desmond.pptx
4.16.24 Poverty and Precarity--Desmond.pptx
 
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQ-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
 
4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx
 
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptxINTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
INTRODUCTION TO CATHOLIC CHRISTOLOGY.pptx
 
Using Grammatical Signals Suitable to Patterns of Idea Development
Using Grammatical Signals Suitable to Patterns of Idea DevelopmentUsing Grammatical Signals Suitable to Patterns of Idea Development
Using Grammatical Signals Suitable to Patterns of Idea Development
 
MS4 level being good citizen -imperative- (1) (1).pdf
MS4 level   being good citizen -imperative- (1) (1).pdfMS4 level   being good citizen -imperative- (1) (1).pdf
MS4 level being good citizen -imperative- (1) (1).pdf
 
Oppenheimer Film Discussion for Philosophy and Film
Oppenheimer Film Discussion for Philosophy and FilmOppenheimer Film Discussion for Philosophy and Film
Oppenheimer Film Discussion for Philosophy and Film
 
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
 
Congestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationCongestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentation
 
Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4
 
Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxGrade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
 
Team Lead Succeed – Helping you and your team achieve high-performance teamwo...
Team Lead Succeed – Helping you and your team achieve high-performance teamwo...Team Lead Succeed – Helping you and your team achieve high-performance teamwo...
Team Lead Succeed – Helping you and your team achieve high-performance teamwo...
 
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptxDecoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
 
Mental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsMental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young minds
 
Expanded definition: technical and operational
Expanded definition: technical and operationalExpanded definition: technical and operational
Expanded definition: technical and operational
 
Mattingly "AI & Prompt Design: Large Language Models"
Mattingly "AI & Prompt Design: Large Language Models"Mattingly "AI & Prompt Design: Large Language Models"
Mattingly "AI & Prompt Design: Large Language Models"
 

A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four bit Binary Adder Circuit

  • 1. Full Paper ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013 A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four bit Binary Adder Circuit Shweta Singh1, Neelam arya2, Manisha Pattanaik2 2 1 ABVIIITM Gwalior, VLSI Group. E amil : shweta6cool@gmail.com ABVIIITM Gwalior, VLSI Group. E amil : neelu9187@gmail.com, manishapattanaik@iiitm.ac.in for sleep transistor is chosen due to fact that it restricts the leakage current and Logic block consists of low voltage transistors to increase the performance of the circuit.[7][9] In Fig.1 drain of the high threshold nMOS sleep transistor is at voltage H” Vdd, when circuit is in standby mode the both sleep transistor are turned off so low Vth block is disconnected from supply and ground and leakage reduces, but when these transistors switches from sleep mode to active mode, a surge current flows due to discharge of the drain terminal of nMOS sleep transistor, known as Ground Bounce Noise. Due to Current surge produced during switching between sleep to active mode MTCMOS Power Gating technique needs to special attention to improve the reliability of the circuit. Various MTCMOS techniques like tri-transistor controlled MTCMOS, Dual switch MTCMOS, diode based trimode has been proposed in past for reducing the leakage current in standby mode and ground bounce noise (GBN) in sleep to active mode transition of the circuit [8][13][15]. In various power gating design uses the concept of extra wait state to reduce the ground bounce noise [14]. In this paper different multi threshold CMOS technique has been presented which uses the concept of forward body biasing (FBB), reverse body biasing (RBB) and ultra low power diode. Ground Bounce noise during mode transition of sleep to active and leakage phenomena in standby mode is investigated in this paper. Organization of the paper is as follows: Diode based trimode power gating is discussed in section II, different proposed leakage reduction and ground bounce reduction techniques are discussed in section III, comparison analysis of different power gating techniques for leakage and ground bounce noise with change in body bias voltage, supply voltage, temperature and wait transistor resizing is discussed in section IV, further reduction of ground bounce noise in proposed design with body bias voltage and transistor resizing is discussed in section V, which is followed by Conclusion in Section VI. Abstract- The performance degradation with technology scaling is one of the major issues in today’s life. Leakage power dissipation in the IC increases exponentially with technology continuously scaling down. Multi threshold CMOS Power Gating is a very well known way to reduce leakage current, but when circuit transition goes from sleep to active mode, due to abrupt transitions introduces Ground Bounce Noise in the circuit, it disturbs the normal working of any circuit and tends to wrong output and also reduces the reliability of circuit. In this paper two effective Power Gating techniques “Ultra low power (ULP) diode based technique with parallel sleep pMOS transistors” and “Single header based Ultra Low Power diode with parallel sleep pMOS transistors” are proposed. These are dealing with Ground Bounce Noise and Leakage problem in the circuit. For that an additional wait mode and extra header transistor is added in the circuit to reduce the ground bounce noise. A comparison analysis between existing and proposed power gating techniques has been done on 90nm technology node, which shows that the proposed techniques “Ultra low power diode based technique with parallel sleep pMOS transistors” and “Single header based ultra Low Power diode with parallel sleep pMOs transistors” reduces leakage by 70.40 and 70.70% respectively and ground bounce noise by 10.38, 14.02% respectively in comparison to Diode Based trimode power gating technique.. Keywords-Ground Bounce Noise, Leakage current, Ultra Low Power Diode, Body biasing I. INTRODUCTION In advance CMOS technology with technology scaling the supply voltage and the threshold voltage also continuously scaling down, due to which leakage power dissipation increases in the circuit, it is one of the biggest challenges related to low power designs in today’s life. Multi threshold CMOS Power Gating is an efficient technique to suppress the Leakage current, and to reduce the Leakage Power in the circuit in standby mode [1][2][16]. In this power gating technique, a High Threshold (High-Vth) transistor is added either between Supply Rail and Logic block (Header) or Logic block and Ground Rail (Footer), or a combination of both is used [4]. MTCMOS Power Gating is a technique in which certain part of the chip turned off, when chip is idle. At the same time, during low Vth circuit mode transition from sleep mode to active mode, power gated circuit suffers from ground Bounce Current due to sudden discharge path from virtual Vdd to Ground line, as shown in Fig.1, which results from parasitic inductance of circuit [3]. High Threshold voltage © 2013 ACEEE DOI: 01.IJSIP.4.3.1287 II. DIODE BASED T RIMODE TECHNIQUE Diode Based Trimode Power gating technique shown in (Fig.2). In this technique N1, N2 and P1 are high threshold sleep nMOS and pMOS transistors. To make a CMOS diode the gate of N2 transistor is connected to drain of N1 transistor and transistor N1 and N2 are connected in series to make transistor stacking to reduce the leakage current [5][11].The 26
  • 2. Full Paper ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013 Vgnd1 discharges up to threshold voltage of P1 transistor easily without increasing the width of transistor and the first peak of ground bounce noise, coming across it, will increase. To complete the reactivation cycle, transition of circuit goes from wait to active mode in which sleep transistor P1 turns off and transistors N1 and N2 are turned on subsequently. By controlling the drain to source voltage of transistor N2 and intermediate node voltage Vgnd2 the ground bounce noise can be controlled more effectively. In wait to active mode transition the transistor N1 turns on first, at this moment the capacitance C1 starts charging. When the capacitor C1 is charged up to the threshold value of transistor N2 the transistor N2 turns on and capacitor C1 starts discharging. Hence the ground bounce noise reduces. capacitor C1 is connected as shown in Fig.2 to control the drain current of N1 transistor and to control the intermediate node voltage Vgnd2. An additional wait mode is being introduced between sleep to active mode transition of circuit by adding an extra pMOS transistor P1 in parallel [8]. Due to this there are total three modes of operation sleep, wait and active. Fig.1 Power gating circuit The sub threshold Leakage current expression is given by equation (1). [5] Fig.2. Diode Based trimode technique (1) III. PROPOSED TECHNIQUES (2) A. ULP Diode with parallel sleep pMOS transistor ULP diode : In the proposed Power Gating technique the transistor P2 and N2 forms Ultra low power (ULP) diode as shown in (Fig.3) [6][10]. The transistors N1, N2, P1, P2 and P3 are high threshold sleep pMOS and nMOS transistors. The sleep transistor N1 is in series with ULP diode, used here to reduce the leakage and ground bounce noise as discussed in the previous section. The parallel sleep pMOS transistors P1 and P3 are used to add an extra wait state [8]. So there total three modes of operation sleep, wait and active. Ultra low power diode is the combination of nMOS and pMOS transistors, which is used to reduce leakage current more effectively. The weak inversion drain current for nMOS and pMOS transistors are given by Vgs,Vbs and Vds are the gate to source, bulk to source and drain to source voltages respectively.Vth0 is the zero bias threshold voltage, Cox is the gate oxide capacitance and μn is mobility. η is the drain induced barrier lowering coefficient (DIBL) and γ is the body effect coefficient. Equation (1) and (2) shows leakage current reduces exponentially when drain to source voltage decreases and if body effect increases (negative Vbs). When low threshold voltage adder circuit is in standby mode all high threshold sleep nMOS and pMOS transistors N1, N2 and P1 are turned off, due to this low Vth circuit disconnected from supply and ground and leakage current reduces. At the same time intermediate node Vgnd2 is charged up to some positive potential due to some small drain current of sleep nMOS transistor N1, the drain-to source potential Vds1 of sleep transistor N1 reduces due to this intermediate node voltage Vgnd2, It causes less drain induced barrier lowering effect and negative body-to-source voltage Vbs1 of sleep transistor N1 leads to body effect. In this way stacking of transistor reduces sub threshold leakage in the circuit. The transistor N2 is diode connected, when this diode is reverse biased, the source is seemed to be connected at gate, so drain to source voltage Vds of transistor N2 reduces and due to this reduction in Vds of transistor N2 the leakage current also reduces. When circuit transition takes place from sleep to wait mode the sleep transistor P1 turns on, but at the same time transistor N1 and N2 are in cut-off state. Forward body biasing is used on wait transistor P1, due to which virtual ground © 2013 ACEEE DOI: 01.IJSIP.4.3.1287 (3) (4) Where Vgs and Vds are gate-to-source and drain-to-source voltage respectively, n is body coefficient, VT = kT/q is the thermal voltage and η is drain induced barrier lowering (DIBL) coefficient. Isno and Ispo are reference currents that correspond to the extrapolation of weak inversion drain current for Vgs=0 and Vds in saturation (but low enough to neglect DIBL). When the adder circuit is in standby mode, Ultra low power diode comes in reverse bias condition, and sleep transistor P2 and N2 are operating with negative gate to source voltage Vgs, it reduces the leakage current of the circuit. As 27
  • 3. Full Paper ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013 given in equation (1) and (2) the leakage current is directly proportional to transistor width, if width (W) of transistor decrease, leakage also reduces. In proposed design uses two parallel wait sleep pMOS transistors P1 and P3, the width (W) of transistors P1 and P3, shown in (Fig.3) is half of the width of the transistor P1 of (Fig.2). So by decreasing the W of transistor the leakage reduces here more effectively. To further reduce the Leakage current uses reverse body biasing on sleep pMOS transistors in standby mode. It increases the threshold voltage of the transistors, so leakage of the circuit reduces. When low Vth circuit transition takes place from sleep to active mode, it will go from sleep to wait mode, at this time transistor P3 turns on but sleep transistors N1, N2, P1 and P2 are turned off, at the same time virtual ground voltage Vgnd1 discharges through P3 up to the threshold voltage Vtp3 of sleep transistor P3. The first peak of ground bounce noise comes across it. The virtual ground voltage can be discharged easily by using forward body biasing (FBB) on sleep transistor P3 without increasing the size of wait transistor [12]. After a small time second wait transistor P1 turns on and forward body biasing is applied on it so again virtual ground voltage is discharged through it up to the amount of threshold voltage Vtp1 of transistor P1 and a second peak of ground bounce comes across it. The virtual ground can be discharged easily by using Forward body biasing on P1 and P3 sleep transistors. To complete the reactivation process the circuit goes from wait to active mode and transistor P1 and P3 turns off and transistor N1 turns on, due to which capacitor C1 starts charging. When capacitor is charged up to the threshold voltage of transistor N2, the transistor N2 turns on and capacitor C1 starts discharging. In this way the use of transistor stacking, ultra low power diode and parallel pMOS sleep transistor ’P3’ and body biasing reduces the Ground bounce noise to a considerable amount. connected in series to make stacking of transistor which is helpful to reduce the Leakage current as discussed in section II. Capacitor C1 is used to control the intermediate node voltage Vgnd2 and Ground Bounce Noise. An extra header sleep transistor is added here to reduce the leakage and the ground bounce noise of the system. When circuit is in standby mode all sleep transistors P1, P2, P3, P4, N1 and N2 are turned off, the low Vth circuit disconnected from supply and ground and leakage reduces. At this time the intermediate node Vgnd2 charge up to some positive potential due to small drain current of N1 transistor, it reduces the drain to source voltage Vds1 of N1 transistor and reduces leakage as shown in equation (1)(2). Due to stacking of transistor by using Ultra Low Power diode leakage reduces to a considerable amount as discussed in previous section. Here uses extra parallel sleep pMOS transistor P1 and P3, whose width (W) is half of the width of P1 sleep transistor of Fig.2 and uses the reverse body biasing on sleep wait pMOS transistors P1 and P3.the reverse body biasing increases the threshold voltage of the circuit and reduces. Due to reduction of transistor width and using reverse body biasing on sleep pMOS transistor in standby mode the leakage reduces to a considerable amount. When circuit transition takes place from sleep to wait state, transistor P4 and P3 turn on, but other transistor P2, N1 and N2 are in cut-off state, the Virtual Vdd node voltage reduces up to the threshold voltage P4 sleep transistor (Vdd-Vthp4), and this voltage is discharge up to the threshold voltage of P3 sleep transistor, due to this the first peak of ground bounce noise comes across P3 transistor, after a small time P1 transistor turns on and the virtual Vdd node voltage discharge up to the threshold voltage of P1 transistor and second peak of ground bounce noise comes across it. To complete the reactivation process the transition takes place from wait to active mode in which transistor N1, N2 and P2 are turns on and the third peak of Ground Bounce noise comes across it. By using forward body biasing and resizing of wait transistor size the ground bounce noise is further reduce to a considerable amount [12]. Fig.3. ULP Diode with parallel sleep pMOS transistor Proposed design Fig.4. Single header based ULP Diode with parallel sleep pMOS transistor A. Single header based ULP Diode with parallel sleep pMOS transistor In this proposed design transistor N1, N2, P1, P2, P3 and P4 are high threshold sleep transistors. Transistor P2 and N2 are making Ultra low power diode as shown in Fig.4, which works similar as discussed in the previous section. Transistor N1 is © 2013 ACEEE DOI: 01.IJSIP.4.3. 1287 IV. SIMULATION RESULT AND DISCUSSION All simulation is done on four bit binary parallel adder circuit. The block diagram of four bit binary adder is shown 28
  • 4. Full Paper ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013 in Fig.5. The basic circuit diagram of one bit full adder is shown in Fig.6. Fig.5 Four bit binary parallel adder block diagram Fig.7. Leakage current analysis with change in body bias voltage Fig.7 shows the leakage current comparison of four bit binary parallel adder circuit for different power gating techniques. TABLE II. LEAKAGE CURRENT ANALYSIS FOR DIFFERENT POWER GATING TECHNIQUE WITH CHANGE IN SUPPLY VOLTAGE Power Gating Technique Diode based trimode ULP Diode with parallel sleep pMOS tr ansistor Single header based ULP Diode with parallel sleep pMOS tr ansistor Fig.6. One bit adder circuit diagram 1.4 Leakage current with change in supply voltage 1.2 1 0.8 0.6 8.72E-08 5.34E-09 1.48E-09 1.10E-09 8.15E-10 8.68E-08 4.76E-09 6.21E-10 4.56E-10 3.46E-10 8.68E-08 4.76E-09 6.17E-10 4.62E-11 2.07E-13 Table II shows the leakage current simulation result for four bit full adder circuit for different power gating techniques with change in supply voltage, it shows that with supply voltage scaling the leakage current of the circuit also reduces. A. Leakage Analysis Leakage analysis of the four bit binary adder circuit in standby mode is done in this section with different power gating techniques. Leakage analysis on different Body bias voltage on sleep transistors, for different supply voltage and temperature has been analyzed in this section. TABLE I. LEAKAGE CURRENT ANALYSIS FOR DIFFERENT POWER GATING TECHNIQUE WITH CHANGE IN BODY BIAS VOLTAGE Power Gating Technique Diode based trimode Leakage current with change in Body Bias voltage 1.4 1.2 1 0.8 0.6 1.47E-09 1.47E-09 1.48E-09 1.49E-09 1.54E-09 ULP Diode with parallel sleep 2.73E-10 4.12E-10 6.21E-10 9.14E-10 1.25E-09 pMOS transistor Single header based ULP Diode with parallel sleep pMOS transistor 2.68E-10 4.08E-10 6.17E-10 9.11E-10 1.35E-09 Fig.9.Leakage current analysis for different power gating technique with temperature B. Ground Bounce Noise analysis When the Power gating MTCMOS circuit transition takes place from sleep to active mode there is a sudden discharge path from supply to ground as shown in Fig.1, so due to this a sudden discharge surge current comes from supply to ground, which disturbs the circuit output transition to a wrong output, this noise is called Ground bounce noise. Ground Table I shows the simulation results for four bit binary adder circuit for different power gating techniques. The result shows that the leakage current of the circuit increases, when applying forward body biasing on sleep transistors in sleep mode and reduces when applying reverse body biasing on sleep transistors in sleep mode. © 2013 ACEEE DOI: 01.IJSIP.4.3.1287 29
  • 5. Full Paper ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013 bounce noise for different body bias voltage on parallel sleep wait pMOS transistors, for different size of wait pMOS transistors, for different temperature, for different supply voltage has been analyzed in this section. For modelling ground bounce noise for different power gated circuit the electrical characteristics of 40 pin dual in line package (DIP40) package model has been used as shown in Fig.10. TABLE V. GROUND B OUNCE NOISE ANALYSIS FOR DIFFERENT POWER GATING TECHNIQUE WITH CHANGE WAIT TRANSISTOR SIZE Power Gating technique Diode based trimode ULP Diode with parallel sleep pMOS transistor Single header based ULP Diode with parallel sleep pMOS transistor GBN in mv with change in size of parallel sleep pMOS Transi stor 1 3 6 9 13 15 1.17 1.2 1.39 1.52 1.68 1.75 0.9 1.27 1.49 1.64 1.83 1.92 0.88 1.23 1.43 1.57 1.73 1.80 Fig.10.DIP -40 Package Pin Ground Bounce Noise Model TABLE IV. G ROUND BOUNCE NOISE ANALYSIS FOR DIFFERENT POWER GATING TECHNIQUE WITH CHANGE IN BODY BIAS VOLTAGE Power Gating technique Diode based trimode ULP Diode with parallel sleep pMOS transistor Single header based ULP Diode with parallel sleep pMOS transistor GBN in mv with change in Body Bias voltage 1.4 1.2 1 0.8 0.6 1.6 1.7 1.7 1.7 1.8 5 1 5 8 1.5 1.5 1.5 1.5 1.5 2 5 7 8 9 1.4 6 1.4 8 1.5 0 1.5 1 Fig.12.Ground Bounce Noise (GBN) analysis with change in wait transistor size 1.5 2 TABLE VI. G ROUND BOUNCE NOISE ANALYSIS FOR DIFFERENT POWER GATING TECHNIQUE WITH CHANGE IN T EMPERATURE The table IV shows the simulation results of ground bounce noise for four bit binary adder power gated circuit. The result shows that by applying forward body biasing on sleep wait transistor P1 and P3 the ground bounce noise peak through theses sleep transistor increases. Pow er G a ting t ec hni que Di ode ba sed tri mode ULP D i ode w ith pa ra ll el sl ee p pMO S tra nsi stor Si ngl e he ad er base d ULP D i ode w ith pa ra ll el sl ee p pMO S tra nsi stor Fig.11.Ground Bounce Noise (GBN) analysis with change in body bias voltage 1.57 1.58 1.59 1.5 1.52 1.54 Fig.13.Ground Bounce Noise (GBN) analysis with change in temperature in 0 C The Ground Bounce noise analysis with change in body bias voltage on parallel sleep pMOS transistors P1 and P3 for different power gating circuit is shown in Fig.11. Table V shows the simulation results of ground bounce noise for four bit binary adder circuit with change in size of wait sleep transistor P1 and P3 of different power gating techniques. It shows that as the size of wait transistor increases the peak of ground bounce noise also increases. Fig.12 shows the ground bounce analysis for different power gating techniques with change in wait transistor size. © 2013 ACEEE DOI: 01.IJSIP.4.3. 1287 G BN in m v wit h cha nge i n T emp 25 45 65 1.752 1.772 1.798 Table VI shows the simulation results of ground bounce noise for four bit binary adder circuit for different power gating techniques with change in temperature. The result shows that the Ground bounce Noise increases with increases in temperature. The Ground bounce analysis with change in temperature is shown in Fig.13 for different power gating techniques. Table VII shows the simulation results of ground bounce noise for different power gating techniques, for four bit 30
  • 6. Full Paper ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013 binary parallel adder circuit with change in supply voltage. The simulation results on the four bit binary power gating adder circuit shows that the ground bounce noise of the circuit increases with increases in supply voltage and decreases with reduction in supply voltage. wait transistor up to width of (P1=6um and P3=6um). By reducing the wait transistor size the peak of ground bounce noise across it reduces as already discussed in section. Which is shown in Fig.17, from sleep to wait mode transition the first peak and the second peak of ground bounce noise reduces up to 1.441mv and 1.3423mv respectively and the third peak voltage reduces up to 1.08mv from wait to active mode transition. To further reduction of ground bounce noise peak again resize the wait transistor size up to width of (P1=4um and P3=4um), it will further reduce the ground bounce noise first and second peak up to 1.33mv and 1.19mv during sleep to wait mode transition and third peak up to1.058mv during wait to active mode transition as shown in Fig.18 and table VIII. TABLE VII. GROUND BOUNCE NOISE ANALYSIS FOR DIFFERENT POWER GATING TECHNIQUE WITH CHANGE IN SUPPLY VOLTAGE Power Gating techni que Diode based t ri mode ULP Dio de with paral lel sleep pMOS transi stor Si ngl e head er based ULP Diode wi th paral lel sleep pMOS transi st or GBN i n mv wit h change i n supply voltage 1.4 1.2 1 0.8 0.6 1.88 1.84 1.75 1.16 0.71 2.08 1.85 1.57 1.17 0.66 2.03 1.58 1.50 0.53 0.35 Table VIII. Ground Bounce Noise Peaks for different wait transistors size and body bias voltage Bodybias Voltage in V BB=1 BB=0.8 BB=0.8 BB=0.8 BB=0.8 Peaks of G in mv BN Wait transistor size W1=7.5um W1=7.5um W1=6um W1=4um W1=1.4um W=7.5um 2 W=7.5um 2 W=6um 2 W=4um 2 W=2.5um 2 Peak1 1.51 1.51 1.44 1.33 1.03 Peak2 Peak3 1.35 1.43 1.34 1.19 1.03 1.11 1.09 1.08 1.06 1.03 Fig.14.Ground Bounce Noise (GBN) analysis with change in supply volta ge The ground bounce noise analysis with change in supply voltage is shown in Fig.14. V. FURTHER REDUCTION OF GROUND BOUNCE By applying FBB and transistor resizing on “single header based ultra low power diode with parallel sleep pMOS transistor” the ground bounce noise can be further reduced [17][18]. When the wait transistor size of P1=7.5um and P3=7.5 um and body bias voltage is 1V in “Single header based Ultra Low Power diode with parallel sleep pMOS transistor” the first, second peak (during sleep to wait mode transition) and third peak (during wait to active mode transition) of ground bounce noise is shown in Fig.15 and voltage corresponding to the peak are 1.505mv, 1.3525mv and 1.1126mv as shown in table VIII. To further reduce the peak of ground bounce noise applying forward body biasing (Vb=0.8V) on sleep parallel wait transistors P1 and P3. In this way from sleep to wait mode transition the first peak and the second peak voltage of Ground bounce noise increases here as shown in Fig.16 and corresponding values 1.5147mv and 1.4321mv, and the third peak of ground bounce noise from wait to active mode transition reduces up to the voltage 1.0957mv. To further reduce the ground bounce noise, resizing the © 2013 ACEEE DOI: 01.IJSIP.4.3. 1287 Fig.15.Ground Bounce Noise analysis with wait transistor size (WP1 =7.5um and W P3=7.5um) and body bias voltage (Vb=Vdd=1V) Fig.16.Ground Bounce Noise analysis with wait transistor size (WP1=7.5um and W P3 =7.5um) and body bias voltage (Vb=0.8V) 31
  • 7. Full Paper ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013 To further reduce the peak of ground bounce noise try to make such type of combination of wait transistor size so that the all three peaks of ground bounce noise become equal in amplitude as shown in Fig.19. In this case the Ground bounce noise comes minimum in comparison to all other cases. For this again resize the wait transistor size of P1 and P3 up size of (P1=1.4um and P3=2.5um). At this combination of body biasing and transistor size the all three peaks of ground bounce noise from sleep to wait mode and wait to active mode transition of the circuit become equal in amplitude that is 1.0287mv. Fig.20.Ground Bounce Noise analysis with wait transistor size W P1 and WP3 and body bias voltage Vb Fig.20 shows the Ground bounce noise comparison for first, second and third peaks. It shows that all peaks of ground bounce noise become equal in amplitude at wait transistor size WP1=1.4um and WP3=2.5um at 0.8V body bias voltage. VI. CONCLUSION Leakage current reduction in standby mode and Ground bounce noise reduction during mode transition for diode based trimode technique, Ultra Low Power diode with parallel sleep pMOs transistor with body biasing and Single header based Ultra Low Power diode with parallel sleep pMOs transistor with body biasing has been evaluated in this paper. Use of transistor stacking, forward body biasing, reverse body biasing, ultra low power diode and wait transistor resizing reduces ground bounce noise and leakage current to a considerable amount. Effect of wait transistor resizing, temperature, body biasing on sleep transistor and supply voltage on leakage and ground bounce noise have been analyzed in this paper. It has been observed that by using proposed design “Ultra Low Power diode with parallel sleep pMOS transistor with body biasing” and “Single header based Ultra Low Power diode with parallel sleep pMOS transistor with body biasing” Leakage can be reduces up to 70.40% and 70.70% respectively by using reverse body biasing in comparison to Diode based trimode technique and Ground bounce Noise can be reduced by to 10.38% and 14.02% respectively in comparison to “Diode based trimode technique”. With increment of temperature and supply voltage the peaks of ground bounce noise and leakage current increases. By reducing the wait transistor size the ground bounce noise peak further reduces. Fig.17.Ground Bounce Noise analysis with wait transistor size (WP1 =6um and W P3 =6um) and body bias voltage (Vb=0.8V) Fig.18.Ground Bounce Noise analysis with wait transistor size (WP1 =4um and W P3 =4um) and body bias voltage (Vb=0.8V) ACKNOWLEDGEMENT As an author I wish to thank Dr. Manisha Pattanaik for her constant support and motivation as a guide and as a mentor. I also wish to appreciate Neelam arya for her support and help during the tenure of this research. This work was supported by the VLSI research group of ABV-IIITM, Gwalior. Fig.19.Ground Bounce Noise analysis with wait transistor size (WP1=2.5um and W P3 =1.4um) and body bias voltage (Vb=0.8V) © 2013 ACEEE DOI: 01.IJSIP.4.3.1287 32
  • 8. Full Paper ACEEE Int. J. on Signal and Image Processing , Vol. 4, No. 3, Sept 2013 REFERENCES [10] Flandre, D.; Bulteel, O.; Gosset, G.; Rue, B.; Bol, D.; , “Ultralow-power analog and digital circuits and microsystems using disruptive ultra-low-leakage design techniques,” Devices, Circuits and Systems (ICCDCS), 2012 8th International Caribbean Conference on , vol., no., pp.1-2, 14-17 March 2012. [11] Manisha Pattanaik, Balwinder Raj, Shashikant Sharma and Anjan Kumar,” Diode Based Trimode Multi-Threshold CMOS Technique for Ground Bounce Noise Reduction in Static CMOS Adders ”, International Conference on Electronics, Nanomaterials and Components, Advanced Materials Research , Vol. 548, pp. 885-889, China 2012 [12] Hailong Jiao; Kursun, V., “Sleep transistor forward body bias: An Extra Knob to lower ground bouncing noise in MTCMOS circuits,” SoC Design Conference (ISOCC), 2009 International , vol., no., pp.216,219, 22-24 Nov. 2009 [13] Hailong Jiao; Kursun, V., “Ground Bouncing Noise Suppression Techniques for Data Preserving Sequential MTCMOS Circuits,” Very Large Scale Integration (VLSI) Systems, IEEE Transactions on , vol.19, no.5, pp.763,773, May 2011 [14] Suhwan Kim; Kosonocky, S.V.; Knebel, D.R.; Stawiasz, K.; Papaefthymiou, M.C., “A Multi-Mode Power Gating Structure for Low-Voltage Deep-Submicron CMOS ICs,” Circuits and Systems II: Express Briefs, IEEE Transactions on , vol.54, no.7, pp.586,590, July 2007 [15] Hailong Jiao; Kursun, V., “Ground-Bouncing-Noise-Aware Combinational MTCMOS Circuits,” Circuits and Systems I: Regular Papers, IEEE Transactions on , vol.57, no.8, pp.2053,2065, Aug. 2010 [16] Neema, V.; Chouhan, S.S.; Sanjiv Tokekar, “VSECURE: Active & standby subthreshold leakage current reduction technique,” Microelectronics (ICM), 2010 International Conference on , vol., no., pp.68,71, 19-22 Dec. 2010 [17] Hailong Jiao; Kursun, V., “How forward body bias helps to reduce ground bouncing noise and silicon area in MTCMOS circuits: Divulging the basic mechanism,” SoC Design Conference (ISOCC), 2010 International , vol., no., pp.9,12, 22-23 Nov. 2010 [18] Hailong Jiao; Kursun, V., “Dynamic forward body bias enhanced tri-mode MTCMOS,” Quality Electronic Design (ASQED), 2010 2nd Asia Symposium on , vol., no., pp.33,37, 3-4 Aug. 2010 [1] Singh, H.; Agarwal, K.; Sylvester, D.; Nowka, K.J., “Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating,” Very Large Scale Integration (VLSI) Systems, IEEE Transactions on , vol.15, no.11, pp.1215,1224, Nov. 2007 [2] S.G. Narendra and A. Chandrakasan, Leakage in Nanometer CMOS Technologies. New York: Springer-verlag, 2006 [3] Suhwan Kim; Kosonocky, S.V.; Knebel, D.R., “Understanding and minimizing ground bounce during mode transition of power gating structures,” Low Power Electronics and Design, 2003. ISLPED ’03. Proceedings of the 2003 International Symposium on , vol., no., pp.22,25, 25-27 Aug. 2003 [4] Gautam, M.; Akashe, S., “Reduction of leakage current and power in full subtractor using MTCMOS technique,” Computer Communication and Informatics (ICCCI), 2013 International Conference on , vol., no., pp.1,4, 4-6 Jan. 2013 [5] Bhanuprakash, R.; Pattanaik, M.; Rajput, S.S.; Mazumdar, K., “Analysis and reduction of ground bounce noise and leakage current during mode transition of stacking power gating logic circuits,” TENCON 2009 - 2009 IEEE Region 10 Conference , vol., no., pp.1,6, 23-26 Jan. 2009 [6] Levacq, D.; Dessard, V.; Flandre, D.; , “Low Leakage SOI CMOS Static Memory Cell With Ultra-Low Power Diode,” Solid-State Circuits, IEEE Journal of , vol.42, no.3, pp.689702, March 2007 [7] Rani, M. Janaki; Malarkkan, S., “Leakage power reduction in CMOS modulo4 adder and modulo4 multiplier in sub-micron technology,” Sustainable Energy and Intelligent Systems (SEISCON 2011), International Conference on , vol., no., pp.593,597, 20-22 July 2011 [8] Hailong Jiao; Kursun, V., “Ground bouncing noise suppression techniques for MTCMOS circuits,” Quality Electronic Design, 2009. ASQED 2009. 1st Asia Symposium on , vol., no., pp.64,70, 15-16 July 2009 [9] Pattanaik, M.; Agnihotri, S.; Varaprashad, M.V.D.L.; Arasu, T.A., “Enhanced Ground Bounce Noise Reduction in a Low Leakage 90nm 1-Volt CMOS Full Adder Cell,” Electronic System Design (ISED), 2010 International Symposium on, vol., no., pp.175,180, 20-22 Dec. 2010. © 2013 ACEEE DOI: 01.IJSIP.4.3.1287 33