SlideShare una empresa de Scribd logo
1 de 7
Descargar para leer sin conexión
K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199
193 | P a g e
Implementation Of Low Power SRAM By Using 8T Decoupled
Logic
K.R.Surendra, K.Venkatramana Reddy
(Department of ECE, M.Tech Student, SVCET, Chittoor, India)
(Department of ECE, Asst. Professor, SVCET, Chittoor, India)
ABSTRACT
We present a novel half-select disturb
free transistor SRAM cell. The cell is 6T based
and utilizes decoupling logic. It employs gated
inverter SRAM cells to decouple the column
select read disturb scenario in half-selected
columns which is one of the impediments to
lowering cell voltage. Furthermore, “false read”
before write operation, common to conventional
6T designs due to bit-select and word line timing
mismatch, is eliminated using this design. Two
design styles are studied to account for the
emerging needs of technology scaling as designs
migrate from 90 to 65 nm PD/SOI technology
nodes. Namely we focus on a 90 nm PD/SOI sense
Amp based and 65 nm PD/SOI domino read
based designs. For the sense Amp based design,
read disturbs to the fully-selected cell can be
further minimized by relying on a read-assist
array architecture which enables discharging the
bit-line (BL) capacitance to GND during a read
operation. This together with the elimination of
half-select disturbs enhance the overall array low
voltage operability and hence reduce power
consumption by 20%–30%.
The domino read based SRAM design
also exploits the proposed cell to enhance cell
stability while reducing the overall power
consumption more than 30% by relying on a
dynamic dual supply technique in combination of
cell design and peripheral circuitry. The
feasibility of the cell and sensitivity to sense Amp
timing has been proved by fabricating a 32 kb
array in a 90-nm PD/SOI technology.
Keywords-Column-decoupled, differential/domino
read, half-select, low power 8T, SRAM, stability.
I. INTRODUCTION
DEVICE miniaturization and the rapidly
growing demand for mobile or power-aware systems
have resulted in an urgent need to reduce power
supply voltage (Vdd). However, voltage reduction
along with device scaling is associated with
decreasing signal charge. Furthermore, increasing
intra-die process parameter variations, particularly
random dopant threshold voltage variations can lead
to large number of fails in extremely small channel
area memory designs. Due to their small size and
large numbers on chip, SRAM cells are adversely
affected. This trend is expected to grow significantly
as designs are scaled further with each technology
generation [1]. Particularly, it conflicts with the need
to maintain a high signal to noise ratio, or high noise
margins, in SRAMs and is one of the major
impediments to producing a stable cell at low
voltage. When combined with other effects such as
narrow width effects, soft error rate (SER),
temperature, and process variations and parasitic
transistor resistance, the scaling of SRAMs becomes
increasingly difficult due to reduced margins [2].
The plot indicates that the SRAM area
scaling drops below 50% for 32-nm technology and
beyond. Furthermore, voltage scaling is virtually
nullified. Higher fail probabilities occur due to
voltage scaling, and low voltage operation is
becoming problematic as higher supply voltages are
required to conquer these process variations. To
overcome these challenges, recent industry trends
have leaned towards exploring larger cells and more
exotic SRAM circuit styles in scaled technologies.
Fig. 1 illustrates the saturation in the scaling trend
(dashed line) of SRAM cells across technology
generations.
Examples are the use of write-assist design
[3], read-modify-write [4], read-assist designs [5],
and the 8T register file cell [6], [7]. Conventional 6T
used in conjunction with these techniques does not
lead to power saving due to exposure to half select
condition [3], [4].
Column select/half-select is very commonly
used in SRAMs to provide SER protection and to
enable area efficient utilization and wiring of the
macro. Nevertheless, the use of column select
introduces a read disturb condition for the unselected
cells along a row (half-selected cells), potentially
destabilizing them. In this paper we present a new
column-decoupled 6T-based SRAM cell where read
K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199
194 | P a g e
disturb is eliminated for column selected/ half
selected cells [5], [8]. The decoupling logic uses two
additional devices and henceforth we will refer to
the cell as the 8T-column-decoupled-cell (8T-CDC).
We study the cell in the presence of two design
styles: namely, sense Amp-based read peripheral
circuitry that was typical for the 90-nm node, and
domino read peripheral circuitry [9] for 65 nm and
beyond. In a sense Amp-based read design, the read
disturb condition is further minimized for the
selected cells by the use of a sense-amp architecture
which actively discharges the selected cell(s) BL to
GND, thereby eliminating the source of disturb.
Through a combination of accurate simulations and
hardware (HW) data acquired from a 32 kb SRAM
macro, a path towards low voltage SRAM operation
of the cells is shown, and the design is shown to
enhance read stability and half-select stability
problems thereby enabling improved .
However, process variations are
increasingly affecting sense Amp designs in PD/SOI
designs and it is natural to converge to domino-read
designs [9]. In domino read-based designs, the
column-decoupled cell still maintains guard against
half-select cell disturbs. However, with the absence
of read-assist feature in domino designs, we need to
account for the read disturb on fully-selected cells.
For this, we propose a dynamic dual supply header
design that leverages the benefits of the column
decoupled cell design and helps save power. As is
the case with traditional dual supply techniques, the
proposed header design maintains separate cell
supply (Vcs) and logic supply (Vdd). However,
unlike traditional techniques, the dynamic cell
supply changes based on the column selection status.
The new header design sets: 1) the selected cell
columns at a voltage supply higher than the logic
one for improved read stability and 2) maintains a
low supply for half-select cells since half-select
disturbs are not an issue for this design. Hence, we
rely on the column-decoupled cell to enable a
simplified low-power high performance column-
decoupled domino read based design. We implement
the design using simplified bit-select logic and
dynamic supply headers with shorter bitlines. In
what follows, we provide a thorough analysis into
the design modifications compared to the traditional
6T dynamic supply designs. We also highlight the
advantages this methodology brings in terms of
lower power and yield improvements.
A localized gated inverter consisting of two
additional transistors, T1 and T2, effectively perform
a logical “AND” operation between the column
select signal (BDT0) and the decoded row, or global
wordline, GWLE. The output of the inverter is the
local wordline signal (LWLE0). The local wordline
is ON only when both the column and row are
selected (i.e., for fully selected cells only); hence, as
illustrated in the waveforms of Fig. 3, LWLE0 of the
selected columned turns ON while LWLE1 of the
half-selected column remains low.
II. 8T COLUMN DECOUPLED CELL
2.1 Proposed 8T-CDC
Fig. 2 illustrates a new 8T-CDC SRAM cell (inside
dashed rectangle) with a gated wordline which
enables the decoupling of the column/half-select
condition [5] hence eliminating half select stability
fails.
This ensures that the local wordline for
only the selected cells is activated, thereby
effectively protecting the half-selected SRAM cells
from the read disturb scenario that exists in 6T cell
due to wordline sharing. Alternatively, it is possible
to swap the input and supply pairs of the gated
inverter; however this comes at the cost of extra
delay stage and power. The advantages of the 8T-
CDC cell are as follows: 1) conforming with
traditional 6T requirements in terms of (a) allowing
the designer to integrate it in a column select fashion
and (b) offering/maintaining SER protection while
2) maximizing array efficiency, 3) eliminating the
read disturb to the unselected cells, and 4) reducing
power with simplification in peripheral logic.
Fig. 3(a) shows a layout view of the 8T-
column-decoupled cell in a 90-nm PD/SOI
technology. The two extra devices are integrated on
top of an existing 6T cell to allow for easy cell
mirroring and integration into an array topology. The
addition of the two new transistors results in a cell
area increase of 40% (all in -direction). Through the
use of higher level metallurgy to wire in the column
decode (BDC) signal, the growth to the -direction of
cell was not impacted. The increase to the –
dimension of the cell causes a proportionate increase
to the BL metal capacitance while maintaining the
original diffusion capacitance contributed by the 6T
cell. Column decode signal integrated with higher
level metal. Area penalty can be further reduced to
30% via use of 6T thin cell integration in Fig. 3(b);
further reduction can be achieved by use of non-
DRC clean devices.
K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199
195 | P a g e
Fig. 3. Layout view of the new 8T-CDC SRAM cell
for a (a) typical cell and a (b) 2 �2 thin cell front
end of the line layout view and (c) back end of the
line layout view to show ROX and GND sharing.
Fig. 3(b) and (c) presents the front end of
the line (FEOL) and back end of the line (BEOL)
layout view of 2 8T-CDC thin cell. The views
illustrate how the recessed oxide (ROX) and power
buses are shared. The area can be reduced further to
30% by utilizing thin cells as presented in this paper
without degrading the bitline capacitance.
2.2 Timing Advantages: Elimination of “False
Read” Before Write
During the write operation in conventional
6T SRAM, when the wordline precedes ahead the
column-select in timing, then the cell starts reading
the data [8]. When the bitline droops, “false read”
before write happens [see Fig. 4(a)]. This is a
disadvantage for conventional 6T SRAM. This
particular drawback is overcome by the technique
that is proposed here as illustrated in the Fig. 4(b); if
the wordline arrives earlier than the column select it
will be gated by the column select and thus “false
read” before write does not ripple through the
bitlines to the evaluation logic.
III. SENSE AMPLIFIER BASED DESIGN
The 8T-CDC cell together with read-assist
sense amp designs [5] can mitigate the read disturb
problem both for selected and half-selected designs.
Fig. 4. (a) For conventional 6T SRAM, during write,
when the wordline precedes ahead the column-
select, the cell starts reading the data [8]. When the
bitline droops, “false read” before write happens. (b)
This particular drawback is overcome by the 8T-
CDC cell; the early wordline (GWLE in dashes) will
be gated by the column select and thus “false read”
before write does not happen.
3.1 Read Assist Sense Amp Based Design
Fig. 5 illustrates the 8T-CDC cell design
combined with read assist sense Amp. The sense
amplifier is shared among multiple columns. In a
typical sense Amp scenario, the bit switch (BDC),
and the WL on the selected cells columns are turned
off once enough margin is developed for the sense-
amplifier to accurately resolve the BL differential.
This is done to save ac power (prevents discharge of
BL to GND) and to speed up sense-time (smaller
capacitance for sense-amplifier to discharge). For
this scenario, only the PFET transistor exists (solid
bit switch PFET Fig. 7) and it closes during “Sense”
to save power and perform faster sense. In a read-
assist scenario the bit-switch PFET is converted to a
complementary (dashed line) NFET and PFET bit-
switch pair. The pair is kept open during the entire
WL active phase. Consequently, the sense-amp and
the cell discharge the BL completely during a sense-
read operation [5]. Hence the sense amplifier “sees”
the full BL capacitance during a read operation; it
discharges the capacitance to GND, and the cell data
is “written back”. This helps minimize the amount of
read disturb charge induced onto the cell from the
bitlines. Temperature/K”.
IV. SENSE AMPLIFIER APPROACH
ANALYSIS & RESULTS
To effectively evaluate the 8T-CDC cell, it
was compared to two versions of a 6T cell within the
same read disturb mitigating system. The first was a
default 6T (106 cell) and the second a 40% larger 6T
cell (149 cell); the latter is intended to compare
functionality gains under similar design area
constraints for 6T and 8T-CDC. It should be noted
K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199
196 | P a g e
that the cell devices within the 8T-CDC cell (PG,
PD, PU) are identical to the 106 cell, while the 149
cell has devices that are 40% larger than the 106
cell. For each cell type, simulations were run using
90-nm PD SOI technology to determine the cell’s
sigma to fail as a function of voltage. Simulations
were also performed to investigate the effect of SET
timings and BL height on each cell type. Finally area
and power tradeoffs were studied to determine
optimum design points. Also a chip was fabricated
and hardware results corroborate well with the
simulations.
In a typical scenario, PFET bit-switch
closes during sense to save power and perform faster
sense. In a read-assist scenario true/comp (dashed
line) NFET and PFET bit-switch pair is kept open
during sense. Hence the sense Amp “sees” the BL
capacitance; it discharges the capacitance to GND,
and the cell data is “written back”. This helps
minimize the amount of read disturb charge.
4.2. Simulation Results
In the following analysis, cell and logic
supplies are assumed the same. is minimum supply
needed to maintain the desired cell yield. Fig. 6
shows the cell yield in sigma values for the three
different cell options. For a BL height of 128 cells,
clamped half-select condition, and a 10% of Vdd BL
differential SET timing, the half-select stability fails
dominate in 6T.
Fig. 5. Gated 8T-CDC cell design combined with
read-assist sense Amp [5].
The 8T-CDC cell shows a marked improvement of
200 mV when compared to 6T (106 cell) and 80 mV
compared to 6T (149 cell). The comparison was
performed at the 5 sigma cell yield point. For
unclamped (floating BL) half-select, the 8T-CDC
curve remains unchanged; half-select is not an issue
for the 8T-CDC cell, and read stability graph
remains the same. A small improvement in (30 mV)
for the two 6T cells is noticed due to relaxation in
the half-select conditions for the 6T. This
improvement increases for shorter BL heights (50
mV for 32 cells/BL) [5]. The effect of SET timing
(for 8T-CDC) on yield sigma was investigated by
advancing the SET signal earlier during the read
cycle. Fig. 7 depicts this data for three different SET
timings (10%, 7%, and 5% of supply BL
differential). 8T-CDC cell improvement between 70
and 130 mV was observed compared to 10% margin
(for the 7% and 5%, respectively); again we
assumed 5 sigma yield point as the target for Vddmin.
Advancing SET timing will have no effect on for 6T
versions as half-selected cells will not derive any
benefit from the read disturb mitigating topology.
Finally, the dependence of BL height for the
unclamped case on cell was investigated and the
results plotted in Fig. 8. It can be seen that to
achieve a cell of 0.6 V (with 5 sigma yield), the 6T-
106 cell cannot be used, the 6T-149 cell offers only
one design option (32 cells/BL), while the 8T-CDC
cell offers several options to the designer (32 to 128
cells/BL with 10% to 7% BL margin SET timings).
Fig. 6. Cell yield in sigma values versus Vdd.
Clamped bitlines; load 128 cells/ bitline. Half-select
stability fails dominate in 6T. Even sized-up 6T (6T-
149) requires Vddmin increase of 80 mV and the
regular 6T requires an increase of 200 mV.
Fig. 7. It is possible to further improve �__ of 8T-
CDC with earlier set arrival (due to lowering margin
criteria of bitline drop voltage).
K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199
197 | P a g e
V. DOMINO READ BASED DESIGN
In the following sections, we discuss the
advantages of the proposed 8T-CDC design in the
presence of domino read based architectures as well
as the rationale behind these architectures.
As technology scales, sense-Amp devices
suffer from Vt-mismatch and scaling becomes
difficult particularly for PD/SOI technology designs
due to hysteretic Vt variation. Thus, it is preferred to
use large signal domino read circuitry [9]. During a
domino read, the dual rail signals from the cell are
amplified by skewed inverters to full rails. This
eliminates the dependency on bitline differential
which can be highly sensitive to Vt-mismatch and
we refer the reader to [9] and the references within
for a detailed overview of domino based read
designs. However, the SRAM cell read disturbs and
half-select problems are still critical in a domino
read design. In what follows, we study the
advantages of combining a decoupled half-select
column design cell design with dynamic supply
techniques for a 65-nm PD/SOI domino read-based
design.
Fig. 8. Unclamped bitlines: the half-select problem
still dominates in sized-up 6T-149 cell. For a target
of 0.6 V the 6T (149) must operate with 32
cells/bitline, whereas the 8T-CDC offers multiple
bitline height options.
Our goal is to exploit the elimination of
half-select disturbs together with dynamic supply
techniques for optimal yield and power. For this
purpose, we propose new header designs for the
dynamic supply suitable for the 8T-CDC cell. An
overview of the targeted domino-read memory
cross-section is illustrated in Fig. 9. Next, we revisit
traditional circuit and peripheral logic for 6T domino
designs and propose simplifications or modifications
as well as novel dynamic header designs suitable for
low-power 8T-CDC cell design.
Fig.9. 8T-CDC-decoupled cell memory cross-section
for domino read designs.
VI. SIMULATION RESULTS
In this project we used DSCH [Digital
Schematic] software for simulating the circuit and to
generate the code, MICROWIND is used to extract
the layout of the schematic diagram.
The following figure shows the schematic diagram,
Layouts and Simulation results of 6T and 8T SRAM
Cell using DSCH & MICROWIND.
6.1 SRAM 6T:
Fig: 6.1 Schematic diagram of one stage 6T SRAM
6.2 SRAM 6T LAYOUT:
Fig: 6.2 Layout of 6T SRAM cell
K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199
198 | P a g e
6.3 SRAM 6T PROPOSED:
Fig 6.3 Schematic diagram of 2 stages 6T SRAM cell
6.4 SRAM 6T PROPOSED LAYOUT:
Fig: 6.4 Proposed Layout of 6T SRAM cell
6.5 SIMULATION RESULT OF SRAM 6T:
Fig: 6.5 Simulation results of SRAM 6T
6.6 SRAM 8T:
Fig: 6.6 Schematic diagram of SRAM 8T cell
6.7 SRAM 8T LAYOUT:
Fig: 6.7 Layout of proposed 8T SRAM Cell
6.8 SIMULATION RESULT OF SRAM 8T:
Fig: 6.8 Simulation results of SRAM 8T
VII. CONCLUSION
We studied a novel 8T-CDC column-
decoupled SRAM design. The half-select free design
enables enhanced voltage scaling capabilities, and
30%–40% power reduction in comparison to
standard 6T techniques. This study involved a 90-nm
read assist-based sense Amp design, and a 65-nm
domino read-based design with dynamic supply
capabilities. The 8T-CDC cell enables significant
power savings in terms of reduction for read-assist
design, and half-select column power reduction in
dynamic dual supply domino read designs with the
aid of new header designs. New simplified local
evaluation logic and shorter bitlines are employed
for the domino read-based design. Simulations
showed high performance for the proposed design
using shorter bitlines, and dynamic header circuit.
Measured hardware data from fabricated chips in 90-
and 65-nm PD/SOI technology shows improved
stability and yield, and voltage scalability due to the
elimination of half-select disturb with comparable
access times as that of 6T-based designs.
VIII. Acknowledgment
We sincerely thank Mr. C. Chandrasekhar,
HOD ECE, SVCET, Mr. Lokesh Krishna, Associate
Professor, SVCET, Mr. L.Rama Murthy HOD ECE,
Vemu IT, Chittoor, and the Staff members of ECE
Dept, SVCET, family members, and friends, one and
all who helped us to make this paper successful.
References
[1] R. Joshi, S. Mukhopadhyay, D. W. Plass,
Y. H. Chan, C.-T. Chan, and A. Devgan,
“Variability analysis for sub-100 nm
PD/SOI CMOS SRAM cell,” in Proc. 30th
Eur. Solid-State Circuits Conf., Sep. 2004,
pp. 211–214.
[2] L. Itoh, K. Osada, and T. Kawahara,
“Reviews and future prospects of low
voltage embedded RAMs,” in Proc. IEEE
K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199
199 | P a g e
Custom Integr. Circuits Conf., 2004, pp.
339–344.
[3] K. Zhang, U. Bhattacharya, Z. Chen, F.
Hamzaoglu, D. Murray, N. Vallepalli, Y.
Wang, B. Zheng, and M. Bohr, “3-GHz 70
MB SRAM in 65 nm CMOS technology
with integrated column-based dynamic
power supply,” in ISSCC Dig. Tech.
Papers, Feb. 2005, pp. 474–475.
[4] M. Kellah, Y. Yibin, S. K. Nam, D.
Somasekhar, G. Pandya, A. Farhang, K.
Zhang, C. Webb, and V. De, “Wordline &
bitline pulsing schemes for improving
SRAM cell stability in low-Vcc 65 nm
CMOS designs,” in Proc. VLSI Circuits
Symp., 2006, pp. 9–10.
[5] V. Ramadurai, R. Joshi, and R. Kanj, “A
disturb decoupled column select 8T SRAM
cell,” in Proc. CICC, 2007, pp. 25–28.
[6] W. Henkels, W. Hwang, R. Joshi, and A.
Williams, “Provably correct storage
arrays,” U.S. Patent 6 279 144, Aug. 21,
2001.
[7] L. Chang, D. M. Fried, J. Hergenrother, J.
W. Sleight, R. H. Dennard, R. K. Montoye,
L. Sekaric, S. J. McNab, A. W. Topol, C.
D. Adams, K. W. Guarini, and W. Haensch,
“Stable SRAM cell design for the 32 nm
node and beyond,” in Proc. IEEE Symp.
VLSI Technol., 2005, pp. 128–129.
[8] R. Joshi, “Random access memory with
stability enhancement and early ready
elimination,” U.S. Patent Appl.
A1/20060250860, Nov. 9, 2006.
[9] R. Joshi, Y. Chan, D. Plass, T. Charest, R.
Freese, R. Sautter,W. Huott, U. Srinivasan,
D. Rodko, P. Patel, P. Shephard, and
T.Werner, “A low power and high
performance SOI SRAM circuit design
with improved cell stability,” in Proc. SOI
Conf., Oct. 2006, pp. 4–7.
[10] H. Pilo, J. Barwin, G. Braceras, C.
Browning, S. Burns, J. Gabric, S.
Lamphier, M. Miller, A. Roberts, and F.
Towler, “An SRAM design in 65 nm and
45 nm technology nodes featuring read and
write-assist circuits to expand operating
voltage,” in Proc. Symp. VLSI Circuits, Jun.
2006, pp. 15–16.
[11] C. Wann, R. Wong, D. J. Frank, R. Mann,
S.-B. Ko, P. Croce, D. Lea, D. Hoyniak, Y.-
M. Lee, J. Toomey, M. Weybright, and J.
Sudijono, “SRAM cell design for stability
methodology,” in Proc. IEEE VLSI-TSA
Int. Symp. VLSI Technol., 2005, pp. 21–22.
[12] R. Kanj, R. Joshi, and S. Nassif, “Mixture
importance sampling and its application to
the analysis of SRAM designs in the
presence of rare failure events,” in Proc.
Des. Autom. Conf., Jul. 2006, pp. 69–72.
ABOUT AUTHORS
1. Mr.K.R.Surendra received Diploma
degree from Dr. Y.C.James Yen Rural Polytechnic
College, Kuppam, Chittoor Dist, A.P, India and the
B.Tech degree from SVPCET, Puttur, Chittoor Dist,
A.P, and India. He worked as Asst. Professor in Vemu
Institute of Technology during 2010-11. His
interested areas are Communications, VLSI and
Electronics.
2. Mr.K.Venkataramana Reddy received
B.Tech Degree from MITS, Madanapalli, Chittoor,
A.P, India and M.Tech from R.V.C.E, Bangalore, and
Karnataka, India. His interested areas are digital
electronics and VLSI. He has co-authored for several
Conference Papers. He thought several subjects for
under graduate and post graduate students.

Más contenido relacionado

La actualidad más candente

A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET Journal
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology csandit
 
Abstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICAbstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICvishnu murthy
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
Geometric and process design of ultra-thin junctionless double gate vertical ...
Geometric and process design of ultra-thin junctionless double gate vertical ...Geometric and process design of ultra-thin junctionless double gate vertical ...
Geometric and process design of ultra-thin junctionless double gate vertical ...IJECEIAES
 
Ca31317323
Ca31317323Ca31317323
Ca31317323IJMER
 
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyDesign of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyAssociate Professor in VSB Coimbatore
 

La actualidad más candente (13)

A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
poster_final
poster_finalposter_final
poster_final
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
 
Abstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICAbstract The Prospect of 3D-IC
Abstract The Prospect of 3D-IC
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
Ijetcas14 632
Ijetcas14 632Ijetcas14 632
Ijetcas14 632
 
R4501100104
R4501100104R4501100104
R4501100104
 
Geometric and process design of ultra-thin junctionless double gate vertical ...
Geometric and process design of ultra-thin junctionless double gate vertical ...Geometric and process design of ultra-thin junctionless double gate vertical ...
Geometric and process design of ultra-thin junctionless double gate vertical ...
 
Ca31317323
Ca31317323Ca31317323
Ca31317323
 
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyDesign of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
 

Destacado (9)

Teleconferencia 4T08
Teleconferencia 4T08Teleconferencia 4T08
Teleconferencia 4T08
 
Formato de celdas
Formato de celdasFormato de celdas
Formato de celdas
 
El magnetismo
El magnetismoEl magnetismo
El magnetismo
 
Tarea 2
Tarea 2Tarea 2
Tarea 2
 
Anonymous
AnonymousAnonymous
Anonymous
 
Tiempos modernos
Tiempos modernos Tiempos modernos
Tiempos modernos
 
Wood 44 Wooden Sunglasses
Wood 44 Wooden SunglassesWood 44 Wooden Sunglasses
Wood 44 Wooden Sunglasses
 
Planificacion 1
Planificacion 1Planificacion 1
Planificacion 1
 
Glosario
GlosarioGlosario
Glosario
 

Similar a Af34193199

MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...VLSICS Design
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 

Similar a Af34193199 (20)

MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
Low power sram
Low power sramLow power sram
Low power sram
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 

Último

DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfPrecisely
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .Alan Dix
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024Stephanie Beckett
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DaySri Ambati
 

Último (20)

DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
 

Af34193199

  • 1. K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199 193 | P a g e Implementation Of Low Power SRAM By Using 8T Decoupled Logic K.R.Surendra, K.Venkatramana Reddy (Department of ECE, M.Tech Student, SVCET, Chittoor, India) (Department of ECE, Asst. Professor, SVCET, Chittoor, India) ABSTRACT We present a novel half-select disturb free transistor SRAM cell. The cell is 6T based and utilizes decoupling logic. It employs gated inverter SRAM cells to decouple the column select read disturb scenario in half-selected columns which is one of the impediments to lowering cell voltage. Furthermore, “false read” before write operation, common to conventional 6T designs due to bit-select and word line timing mismatch, is eliminated using this design. Two design styles are studied to account for the emerging needs of technology scaling as designs migrate from 90 to 65 nm PD/SOI technology nodes. Namely we focus on a 90 nm PD/SOI sense Amp based and 65 nm PD/SOI domino read based designs. For the sense Amp based design, read disturbs to the fully-selected cell can be further minimized by relying on a read-assist array architecture which enables discharging the bit-line (BL) capacitance to GND during a read operation. This together with the elimination of half-select disturbs enhance the overall array low voltage operability and hence reduce power consumption by 20%–30%. The domino read based SRAM design also exploits the proposed cell to enhance cell stability while reducing the overall power consumption more than 30% by relying on a dynamic dual supply technique in combination of cell design and peripheral circuitry. The feasibility of the cell and sensitivity to sense Amp timing has been proved by fabricating a 32 kb array in a 90-nm PD/SOI technology. Keywords-Column-decoupled, differential/domino read, half-select, low power 8T, SRAM, stability. I. INTRODUCTION DEVICE miniaturization and the rapidly growing demand for mobile or power-aware systems have resulted in an urgent need to reduce power supply voltage (Vdd). However, voltage reduction along with device scaling is associated with decreasing signal charge. Furthermore, increasing intra-die process parameter variations, particularly random dopant threshold voltage variations can lead to large number of fails in extremely small channel area memory designs. Due to their small size and large numbers on chip, SRAM cells are adversely affected. This trend is expected to grow significantly as designs are scaled further with each technology generation [1]. Particularly, it conflicts with the need to maintain a high signal to noise ratio, or high noise margins, in SRAMs and is one of the major impediments to producing a stable cell at low voltage. When combined with other effects such as narrow width effects, soft error rate (SER), temperature, and process variations and parasitic transistor resistance, the scaling of SRAMs becomes increasingly difficult due to reduced margins [2]. The plot indicates that the SRAM area scaling drops below 50% for 32-nm technology and beyond. Furthermore, voltage scaling is virtually nullified. Higher fail probabilities occur due to voltage scaling, and low voltage operation is becoming problematic as higher supply voltages are required to conquer these process variations. To overcome these challenges, recent industry trends have leaned towards exploring larger cells and more exotic SRAM circuit styles in scaled technologies. Fig. 1 illustrates the saturation in the scaling trend (dashed line) of SRAM cells across technology generations. Examples are the use of write-assist design [3], read-modify-write [4], read-assist designs [5], and the 8T register file cell [6], [7]. Conventional 6T used in conjunction with these techniques does not lead to power saving due to exposure to half select condition [3], [4]. Column select/half-select is very commonly used in SRAMs to provide SER protection and to enable area efficient utilization and wiring of the macro. Nevertheless, the use of column select introduces a read disturb condition for the unselected cells along a row (half-selected cells), potentially destabilizing them. In this paper we present a new column-decoupled 6T-based SRAM cell where read
  • 2. K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199 194 | P a g e disturb is eliminated for column selected/ half selected cells [5], [8]. The decoupling logic uses two additional devices and henceforth we will refer to the cell as the 8T-column-decoupled-cell (8T-CDC). We study the cell in the presence of two design styles: namely, sense Amp-based read peripheral circuitry that was typical for the 90-nm node, and domino read peripheral circuitry [9] for 65 nm and beyond. In a sense Amp-based read design, the read disturb condition is further minimized for the selected cells by the use of a sense-amp architecture which actively discharges the selected cell(s) BL to GND, thereby eliminating the source of disturb. Through a combination of accurate simulations and hardware (HW) data acquired from a 32 kb SRAM macro, a path towards low voltage SRAM operation of the cells is shown, and the design is shown to enhance read stability and half-select stability problems thereby enabling improved . However, process variations are increasingly affecting sense Amp designs in PD/SOI designs and it is natural to converge to domino-read designs [9]. In domino read-based designs, the column-decoupled cell still maintains guard against half-select cell disturbs. However, with the absence of read-assist feature in domino designs, we need to account for the read disturb on fully-selected cells. For this, we propose a dynamic dual supply header design that leverages the benefits of the column decoupled cell design and helps save power. As is the case with traditional dual supply techniques, the proposed header design maintains separate cell supply (Vcs) and logic supply (Vdd). However, unlike traditional techniques, the dynamic cell supply changes based on the column selection status. The new header design sets: 1) the selected cell columns at a voltage supply higher than the logic one for improved read stability and 2) maintains a low supply for half-select cells since half-select disturbs are not an issue for this design. Hence, we rely on the column-decoupled cell to enable a simplified low-power high performance column- decoupled domino read based design. We implement the design using simplified bit-select logic and dynamic supply headers with shorter bitlines. In what follows, we provide a thorough analysis into the design modifications compared to the traditional 6T dynamic supply designs. We also highlight the advantages this methodology brings in terms of lower power and yield improvements. A localized gated inverter consisting of two additional transistors, T1 and T2, effectively perform a logical “AND” operation between the column select signal (BDT0) and the decoded row, or global wordline, GWLE. The output of the inverter is the local wordline signal (LWLE0). The local wordline is ON only when both the column and row are selected (i.e., for fully selected cells only); hence, as illustrated in the waveforms of Fig. 3, LWLE0 of the selected columned turns ON while LWLE1 of the half-selected column remains low. II. 8T COLUMN DECOUPLED CELL 2.1 Proposed 8T-CDC Fig. 2 illustrates a new 8T-CDC SRAM cell (inside dashed rectangle) with a gated wordline which enables the decoupling of the column/half-select condition [5] hence eliminating half select stability fails. This ensures that the local wordline for only the selected cells is activated, thereby effectively protecting the half-selected SRAM cells from the read disturb scenario that exists in 6T cell due to wordline sharing. Alternatively, it is possible to swap the input and supply pairs of the gated inverter; however this comes at the cost of extra delay stage and power. The advantages of the 8T- CDC cell are as follows: 1) conforming with traditional 6T requirements in terms of (a) allowing the designer to integrate it in a column select fashion and (b) offering/maintaining SER protection while 2) maximizing array efficiency, 3) eliminating the read disturb to the unselected cells, and 4) reducing power with simplification in peripheral logic. Fig. 3(a) shows a layout view of the 8T- column-decoupled cell in a 90-nm PD/SOI technology. The two extra devices are integrated on top of an existing 6T cell to allow for easy cell mirroring and integration into an array topology. The addition of the two new transistors results in a cell area increase of 40% (all in -direction). Through the use of higher level metallurgy to wire in the column decode (BDC) signal, the growth to the -direction of cell was not impacted. The increase to the – dimension of the cell causes a proportionate increase to the BL metal capacitance while maintaining the original diffusion capacitance contributed by the 6T cell. Column decode signal integrated with higher level metal. Area penalty can be further reduced to 30% via use of 6T thin cell integration in Fig. 3(b); further reduction can be achieved by use of non- DRC clean devices.
  • 3. K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199 195 | P a g e Fig. 3. Layout view of the new 8T-CDC SRAM cell for a (a) typical cell and a (b) 2 �2 thin cell front end of the line layout view and (c) back end of the line layout view to show ROX and GND sharing. Fig. 3(b) and (c) presents the front end of the line (FEOL) and back end of the line (BEOL) layout view of 2 8T-CDC thin cell. The views illustrate how the recessed oxide (ROX) and power buses are shared. The area can be reduced further to 30% by utilizing thin cells as presented in this paper without degrading the bitline capacitance. 2.2 Timing Advantages: Elimination of “False Read” Before Write During the write operation in conventional 6T SRAM, when the wordline precedes ahead the column-select in timing, then the cell starts reading the data [8]. When the bitline droops, “false read” before write happens [see Fig. 4(a)]. This is a disadvantage for conventional 6T SRAM. This particular drawback is overcome by the technique that is proposed here as illustrated in the Fig. 4(b); if the wordline arrives earlier than the column select it will be gated by the column select and thus “false read” before write does not ripple through the bitlines to the evaluation logic. III. SENSE AMPLIFIER BASED DESIGN The 8T-CDC cell together with read-assist sense amp designs [5] can mitigate the read disturb problem both for selected and half-selected designs. Fig. 4. (a) For conventional 6T SRAM, during write, when the wordline precedes ahead the column- select, the cell starts reading the data [8]. When the bitline droops, “false read” before write happens. (b) This particular drawback is overcome by the 8T- CDC cell; the early wordline (GWLE in dashes) will be gated by the column select and thus “false read” before write does not happen. 3.1 Read Assist Sense Amp Based Design Fig. 5 illustrates the 8T-CDC cell design combined with read assist sense Amp. The sense amplifier is shared among multiple columns. In a typical sense Amp scenario, the bit switch (BDC), and the WL on the selected cells columns are turned off once enough margin is developed for the sense- amplifier to accurately resolve the BL differential. This is done to save ac power (prevents discharge of BL to GND) and to speed up sense-time (smaller capacitance for sense-amplifier to discharge). For this scenario, only the PFET transistor exists (solid bit switch PFET Fig. 7) and it closes during “Sense” to save power and perform faster sense. In a read- assist scenario the bit-switch PFET is converted to a complementary (dashed line) NFET and PFET bit- switch pair. The pair is kept open during the entire WL active phase. Consequently, the sense-amp and the cell discharge the BL completely during a sense- read operation [5]. Hence the sense amplifier “sees” the full BL capacitance during a read operation; it discharges the capacitance to GND, and the cell data is “written back”. This helps minimize the amount of read disturb charge induced onto the cell from the bitlines. Temperature/K”. IV. SENSE AMPLIFIER APPROACH ANALYSIS & RESULTS To effectively evaluate the 8T-CDC cell, it was compared to two versions of a 6T cell within the same read disturb mitigating system. The first was a default 6T (106 cell) and the second a 40% larger 6T cell (149 cell); the latter is intended to compare functionality gains under similar design area constraints for 6T and 8T-CDC. It should be noted
  • 4. K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199 196 | P a g e that the cell devices within the 8T-CDC cell (PG, PD, PU) are identical to the 106 cell, while the 149 cell has devices that are 40% larger than the 106 cell. For each cell type, simulations were run using 90-nm PD SOI technology to determine the cell’s sigma to fail as a function of voltage. Simulations were also performed to investigate the effect of SET timings and BL height on each cell type. Finally area and power tradeoffs were studied to determine optimum design points. Also a chip was fabricated and hardware results corroborate well with the simulations. In a typical scenario, PFET bit-switch closes during sense to save power and perform faster sense. In a read-assist scenario true/comp (dashed line) NFET and PFET bit-switch pair is kept open during sense. Hence the sense Amp “sees” the BL capacitance; it discharges the capacitance to GND, and the cell data is “written back”. This helps minimize the amount of read disturb charge. 4.2. Simulation Results In the following analysis, cell and logic supplies are assumed the same. is minimum supply needed to maintain the desired cell yield. Fig. 6 shows the cell yield in sigma values for the three different cell options. For a BL height of 128 cells, clamped half-select condition, and a 10% of Vdd BL differential SET timing, the half-select stability fails dominate in 6T. Fig. 5. Gated 8T-CDC cell design combined with read-assist sense Amp [5]. The 8T-CDC cell shows a marked improvement of 200 mV when compared to 6T (106 cell) and 80 mV compared to 6T (149 cell). The comparison was performed at the 5 sigma cell yield point. For unclamped (floating BL) half-select, the 8T-CDC curve remains unchanged; half-select is not an issue for the 8T-CDC cell, and read stability graph remains the same. A small improvement in (30 mV) for the two 6T cells is noticed due to relaxation in the half-select conditions for the 6T. This improvement increases for shorter BL heights (50 mV for 32 cells/BL) [5]. The effect of SET timing (for 8T-CDC) on yield sigma was investigated by advancing the SET signal earlier during the read cycle. Fig. 7 depicts this data for three different SET timings (10%, 7%, and 5% of supply BL differential). 8T-CDC cell improvement between 70 and 130 mV was observed compared to 10% margin (for the 7% and 5%, respectively); again we assumed 5 sigma yield point as the target for Vddmin. Advancing SET timing will have no effect on for 6T versions as half-selected cells will not derive any benefit from the read disturb mitigating topology. Finally, the dependence of BL height for the unclamped case on cell was investigated and the results plotted in Fig. 8. It can be seen that to achieve a cell of 0.6 V (with 5 sigma yield), the 6T- 106 cell cannot be used, the 6T-149 cell offers only one design option (32 cells/BL), while the 8T-CDC cell offers several options to the designer (32 to 128 cells/BL with 10% to 7% BL margin SET timings). Fig. 6. Cell yield in sigma values versus Vdd. Clamped bitlines; load 128 cells/ bitline. Half-select stability fails dominate in 6T. Even sized-up 6T (6T- 149) requires Vddmin increase of 80 mV and the regular 6T requires an increase of 200 mV. Fig. 7. It is possible to further improve �__ of 8T- CDC with earlier set arrival (due to lowering margin criteria of bitline drop voltage).
  • 5. K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199 197 | P a g e V. DOMINO READ BASED DESIGN In the following sections, we discuss the advantages of the proposed 8T-CDC design in the presence of domino read based architectures as well as the rationale behind these architectures. As technology scales, sense-Amp devices suffer from Vt-mismatch and scaling becomes difficult particularly for PD/SOI technology designs due to hysteretic Vt variation. Thus, it is preferred to use large signal domino read circuitry [9]. During a domino read, the dual rail signals from the cell are amplified by skewed inverters to full rails. This eliminates the dependency on bitline differential which can be highly sensitive to Vt-mismatch and we refer the reader to [9] and the references within for a detailed overview of domino based read designs. However, the SRAM cell read disturbs and half-select problems are still critical in a domino read design. In what follows, we study the advantages of combining a decoupled half-select column design cell design with dynamic supply techniques for a 65-nm PD/SOI domino read-based design. Fig. 8. Unclamped bitlines: the half-select problem still dominates in sized-up 6T-149 cell. For a target of 0.6 V the 6T (149) must operate with 32 cells/bitline, whereas the 8T-CDC offers multiple bitline height options. Our goal is to exploit the elimination of half-select disturbs together with dynamic supply techniques for optimal yield and power. For this purpose, we propose new header designs for the dynamic supply suitable for the 8T-CDC cell. An overview of the targeted domino-read memory cross-section is illustrated in Fig. 9. Next, we revisit traditional circuit and peripheral logic for 6T domino designs and propose simplifications or modifications as well as novel dynamic header designs suitable for low-power 8T-CDC cell design. Fig.9. 8T-CDC-decoupled cell memory cross-section for domino read designs. VI. SIMULATION RESULTS In this project we used DSCH [Digital Schematic] software for simulating the circuit and to generate the code, MICROWIND is used to extract the layout of the schematic diagram. The following figure shows the schematic diagram, Layouts and Simulation results of 6T and 8T SRAM Cell using DSCH & MICROWIND. 6.1 SRAM 6T: Fig: 6.1 Schematic diagram of one stage 6T SRAM 6.2 SRAM 6T LAYOUT: Fig: 6.2 Layout of 6T SRAM cell
  • 6. K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199 198 | P a g e 6.3 SRAM 6T PROPOSED: Fig 6.3 Schematic diagram of 2 stages 6T SRAM cell 6.4 SRAM 6T PROPOSED LAYOUT: Fig: 6.4 Proposed Layout of 6T SRAM cell 6.5 SIMULATION RESULT OF SRAM 6T: Fig: 6.5 Simulation results of SRAM 6T 6.6 SRAM 8T: Fig: 6.6 Schematic diagram of SRAM 8T cell 6.7 SRAM 8T LAYOUT: Fig: 6.7 Layout of proposed 8T SRAM Cell 6.8 SIMULATION RESULT OF SRAM 8T: Fig: 6.8 Simulation results of SRAM 8T VII. CONCLUSION We studied a novel 8T-CDC column- decoupled SRAM design. The half-select free design enables enhanced voltage scaling capabilities, and 30%–40% power reduction in comparison to standard 6T techniques. This study involved a 90-nm read assist-based sense Amp design, and a 65-nm domino read-based design with dynamic supply capabilities. The 8T-CDC cell enables significant power savings in terms of reduction for read-assist design, and half-select column power reduction in dynamic dual supply domino read designs with the aid of new header designs. New simplified local evaluation logic and shorter bitlines are employed for the domino read-based design. Simulations showed high performance for the proposed design using shorter bitlines, and dynamic header circuit. Measured hardware data from fabricated chips in 90- and 65-nm PD/SOI technology shows improved stability and yield, and voltage scalability due to the elimination of half-select disturb with comparable access times as that of 6T-based designs. VIII. Acknowledgment We sincerely thank Mr. C. Chandrasekhar, HOD ECE, SVCET, Mr. Lokesh Krishna, Associate Professor, SVCET, Mr. L.Rama Murthy HOD ECE, Vemu IT, Chittoor, and the Staff members of ECE Dept, SVCET, family members, and friends, one and all who helped us to make this paper successful. References [1] R. Joshi, S. Mukhopadhyay, D. W. Plass, Y. H. Chan, C.-T. Chan, and A. Devgan, “Variability analysis for sub-100 nm PD/SOI CMOS SRAM cell,” in Proc. 30th Eur. Solid-State Circuits Conf., Sep. 2004, pp. 211–214. [2] L. Itoh, K. Osada, and T. Kawahara, “Reviews and future prospects of low voltage embedded RAMs,” in Proc. IEEE
  • 7. K.R.Surendra, K.Venkatramana Reddy / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.193-199 199 | P a g e Custom Integr. Circuits Conf., 2004, pp. 339–344. [3] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, “3-GHz 70 MB SRAM in 65 nm CMOS technology with integrated column-based dynamic power supply,” in ISSCC Dig. Tech. Papers, Feb. 2005, pp. 474–475. [4] M. Kellah, Y. Yibin, S. K. Nam, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C. Webb, and V. De, “Wordline & bitline pulsing schemes for improving SRAM cell stability in low-Vcc 65 nm CMOS designs,” in Proc. VLSI Circuits Symp., 2006, pp. 9–10. [5] V. Ramadurai, R. Joshi, and R. Kanj, “A disturb decoupled column select 8T SRAM cell,” in Proc. CICC, 2007, pp. 25–28. [6] W. Henkels, W. Hwang, R. Joshi, and A. Williams, “Provably correct storage arrays,” U.S. Patent 6 279 144, Aug. 21, 2001. [7] L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, L. Sekaric, S. J. McNab, A. W. Topol, C. D. Adams, K. W. Guarini, and W. Haensch, “Stable SRAM cell design for the 32 nm node and beyond,” in Proc. IEEE Symp. VLSI Technol., 2005, pp. 128–129. [8] R. Joshi, “Random access memory with stability enhancement and early ready elimination,” U.S. Patent Appl. A1/20060250860, Nov. 9, 2006. [9] R. Joshi, Y. Chan, D. Plass, T. Charest, R. Freese, R. Sautter,W. Huott, U. Srinivasan, D. Rodko, P. Patel, P. Shephard, and T.Werner, “A low power and high performance SOI SRAM circuit design with improved cell stability,” in Proc. SOI Conf., Oct. 2006, pp. 4–7. [10] H. Pilo, J. Barwin, G. Braceras, C. Browning, S. Burns, J. Gabric, S. Lamphier, M. Miller, A. Roberts, and F. Towler, “An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage,” in Proc. Symp. VLSI Circuits, Jun. 2006, pp. 15–16. [11] C. Wann, R. Wong, D. J. Frank, R. Mann, S.-B. Ko, P. Croce, D. Lea, D. Hoyniak, Y.- M. Lee, J. Toomey, M. Weybright, and J. Sudijono, “SRAM cell design for stability methodology,” in Proc. IEEE VLSI-TSA Int. Symp. VLSI Technol., 2005, pp. 21–22. [12] R. Kanj, R. Joshi, and S. Nassif, “Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events,” in Proc. Des. Autom. Conf., Jul. 2006, pp. 69–72. ABOUT AUTHORS 1. Mr.K.R.Surendra received Diploma degree from Dr. Y.C.James Yen Rural Polytechnic College, Kuppam, Chittoor Dist, A.P, India and the B.Tech degree from SVPCET, Puttur, Chittoor Dist, A.P, and India. He worked as Asst. Professor in Vemu Institute of Technology during 2010-11. His interested areas are Communications, VLSI and Electronics. 2. Mr.K.Venkataramana Reddy received B.Tech Degree from MITS, Madanapalli, Chittoor, A.P, India and M.Tech from R.V.C.E, Bangalore, and Karnataka, India. His interested areas are digital electronics and VLSI. He has co-authored for several Conference Papers. He thought several subjects for under graduate and post graduate students.