SlideShare una empresa de Scribd logo
1 de 6
Descargar para leer sin conexión
IJSRD - International Journal for Scientific Research & Development| Vol. 1, Issue 2, 2013 | ISSN (online): 2321-0613
All rights reserved by www.ijsrd.com 367
Design and Simulation Low power SRAM Circuits
Garima Jain1
1
Research Scholar
1
Mewar University, Chittorgarh, Rajasthan
Abstract—(SRAMs), focusing on optimizing delay and
power. As the scaling trends in the speed and power of
SRAMs with size and technology and find that the SRAM
delay scales as the logarithm of its size as long as the
interconnect delay is negligible. Non-scaling of threshold
mismatches with process scaling, causes the signal swings in
the bitlines and data lines also not to scale, leading to an
increase in the relative delay of an SRAM, across
technology generations. Appropriate methods for reduction
of power consumption were studied such as capacitance
reduction, very low operating voltages, DC and AC current
reduction and suppression of leakage currents to name a
few.. Many of reviewed techniques are applicable to other
applications such as ASICs, DSPs, etc. Battery and solar-
cell operation requires an operating voltage environment in
low voltage area. These conditions demand new design
approaches and more sophisticated concepts to retain high
device reliability. The proposed techniques (USRS and
LPRS) are topology based and hence easier to implement.
Keywords: LPRS, SRAM, SNM, Sense amplifiers (SA),
UPRS
I. INTRODUCTION
The stability of embedded Static Random Access
Memories (SRAMs) is a growing concern in the design
and test community [1, 2, 3]. Maintaining an acceptable
Static Noise Margin (SNM) in embedded SRAMs while
scaling the minimal feature sizes and supply voltages of
the Systems-on-a-Chip (SoC) becomes increasingly
challenging. The increased process spreads of modern
scaled-down technologies and non-catastrophic defect-
related sensitivity to environmental parameters can
cause stability degradation in SRAMs [4]. Moreover, the
minimal feature sizes of SRAM cell transistors
combined with the high packing density of SRAM
arrays, often involving relaxed design rules, aggravate
this problem. The static noise margin (SNM) can serve
as a figure of merit in evaluation of the stability of
SRAM cells. Due to various factors the SNM of even
defect free cells is declining with scaling. In the
presence of non-catastrophic defects such as poor vias
and contacts, cell stability is degraded even further.
However, such defective cells can still escape the
standard functional tests (e.g., march tests).
International Technology Roadmap for
Semiconductors (ITRS)-2003 [5, 6] predicts “greater
parametric yield loss with respect to noise margins" for
high density circuits.
The growing gap between the Micro Processor
Unit (MPU) cycle time and DRAM access time
necessitated the introduction of several levels of caching
in modern data processors. In personal computer MPUs
such levels are often represented by Ll and L2 on-chip
embedded SRAM cache memories. As the speed gap
between MPU, memory and mass storage continues to
widen, deeper memory hierarchies have been introduced
in high-end server microprocessors [7].
Fig 1: Computer memory hierarchy.
II. SRAM DESIGN AND OPERATION
SRAM Block StructureA.
Figure 2 shows an example of the basic SRAM block
structure. A row decoder gated by the timing block
decodes X row address bits and selects one of the word
lines WL_O-WL_N- 1. If an SRAM array of N rows
and M bits is arranged in a page manner, an additional
Z-decoder activates the accessed page. Figure 2 shows an
example with four pages of NxM arrays with the
corresponding I/O circuitry.
Fig 2: SRAM block diagram
Memories can be bit-oriented or word-oriented. In a bit-
oriented memory, each address accesses a single bit.
Whereas in a word-oriented memory, a word consisting of ti
(where the popular values of ti include 8, 16, 32 or 64) bits
is accessed with each address. Column decoders or column
Design and Simulation Low power SRAM Circuits
(IJSRD/Vol. 1/Issue 2/2013/0076)
All rights reserved by www.ijsrd.com
368
MUXs (YMUX) addressed by Y address bits are often used
to allow sharing of a single sense amplifier among 2, 4 or
more columns. Most of modern SRAMs are self-timed, i.e.
all the internal timing is generated by the timing block
within an SRAM instance. An additional Chip Select (CS)
signal, introducing an extra decoding hierarchy level, is
often provided in multi-chip architectures.
The SRAM CELLB.
Memory cells are the key components of any SRAM
serving for storage of binary information. A typical
SRAM cell is comprised two cross-coupled inverters
forming a latch and access transistors. Access transistors
enable read and write access to the cell and cell isolation
for the not-accessed state. An SRAM cell has to provide
non-destructive read access, write capability and infinite
storage (or data retention) time provided the power is
supplied to the cell. Hierarchically, memory cells are
arranged in cores, which can be further divided into
blocks and arrays depending on the system speed and
power requirements.
There are three more recent SRAM cells: a resistive load
four-transistor (4T) SRAM cell, a six-transistor (6T)
CMOS SRAM cell and a loadless 4T SRAM cell. We
will consider a six-transistor (6T) CMOS SRAM cell and
will then discuss its advantages and disadvantages. The
cell design considerations represent a tradeoff between
cell area, robustness, speed and power. Cell size
minimization is one of the most important design
objectives.
6T CMOS SRAM Cell
The mainstream six-transistor (6T) CMOS SRAM cell is
shown in Figure 3. Similarly to one of the
implementations of an SR latch, it consists of six
transistors. Four transistors (Ql - Q4) comprise cross-
coupled CMOS inverters and two NMOS transistors Q5
and Q6 provide read and write access to the cell. Upon
the activation of the word line, the access transistors
connect the two internal nodes of the cell to the true
(BL) and the complementary (BLB) bit lines.
Fig 3: Six-transistor (6T) CMOS SRAM cell.
An SRAM cell has to provide a non-destructive read and
a quick write - the two opposing requirements, which
impose constraints on the cell transistor currents
governed by their transistor sizing.
Read Operation
The read operation is stared by enabling the word line
(WL) and connecting the precharged bit lines, BL and
BLB, to the internal nodes of the cell. Upon read
access, the bit line voltage VBL remains at the
precharged level equal VDD. The complementary bit
line voltage VBLB is discharged through transistors Ql
and Q5 connected in series (Figure 4). Effectively,
transistors Ql and Q5 form a voltage divider whose
output is connected to the input of inverter Q2 - Q4 in
Figure 3. Sizing of Ql and Q5 should ensure that inverter
Q2 - Q4 does not switch causing a read upset. In other
words, 0 +, 6. V should be less than the switching
threshold of inverter Q2 - Q4 plus some safety margin or
Noise Margin
Fig 4: Simplified model of a 6T CMOS SRAM cell
during a read operation.
Fig 5:
One and can be varied depending on the target
application of the cell from approximately 1 to 2.5.
Larger CRs provide higher read current Iread (and
hence - the speed) and SNM (see Figure 5(b)) at the
expense of larger area taken by the driver transistors Q1
and Q2. Whereas smaller CRs make for a more compact
cell with moderate speed and noise margins. Both for
ensuring cell stability and reducing the leakage current of
the access transistors, a preferred sizing solution is to use
a minimum width with a slightly larger than minimal
length access transistors and a larger than minimal width
with a minimal length driver transistors.
Design and Simulation Low power SRAM Circuits
(IJSRD/Vol. 1/Issue 2/2013/0076)
All rights reserved by www.ijsrd.com
369
Once the complementary bit line voltage VBLB has been
discharged to a certain VDD -, 6. sufficient for reliable
sensing by the sense amplifier, the sense amplifier is
enabled and amplifies the small differential voltage
between the bit lines to the full-swing CMOS level.
Write Operation2)
The write operation is similar to resetting an SR latch.
One of the bit lines, e.g., BL in Figure 6, is driven from
precharged value (VDD) to the ground potential by a write
driver through transistor Q6. If transistors Q4 and Q6 are
properly sized, then the cell is flipped and its data is
effectively overwritten. Note that the write operation is
applied to the node storing a "1". This is necessitated by
the non-destructive read constraint that ensures that a
"0" node does not exceed the switching threshold of
inverter Q2 - Q4. The function of the pull-up transistors
is only to maintain the high level on the "I" storage node
and prevent its discharge by the off-state leakage current
of the driver transistor during data retention and to
provide the low-to-high transition during overwriting.
Figure 6 Simplified model of a 6T CMOS SRAM cell
during a write operation
Assuming that the switching will not start before “1” node is
below a simplified overwrite condition can be
expressed as [3]
where the pull-up ratio of the cell, PR, is defined as:
Fig 7:
Sense Amplifier and Precharge-Equalization3)
Sense amplifiers (SA) represent an important component
in memory design. The choice and design of an SA
defines the robustness of bit line sensing, impacts the
read speed and power. Due to the variety of SAs in
semiconductor memories and the impact they have on
the final specs of the memory, the sense amplifiers have
become a separate class of circuits.
The primary function of an SA in SRAM is to
amplify a small analog differential voltage developed on
the bit lines by a read-accessed cell to the full swing
digital output signal thus greatly reducing the time
required for a read operation. Since SRAM do not
feature data refresh after sensing, the sensing operation
has to be nondestructive, as opposed to a destructive
sensing of a DRAM cell. Having an SA allows the
storage cells to be small, since each individual cell need
not fully discharge the bit line.
III. LOWER POTENTIAL RAISING SCHEME (LPRS)
FOR REDUCTION OF LEAKAGE
Topology and current reduction mechanism:A.
There are three methods that have been used in the present
work to reduce leakage current in standby mode. Out of the
three techniques one has been discussed in the previous
chapter. Here we discuss the second techniques called LPRS
scheme. In this technique we raise the ground potential to a
some an extent in standby mode. Due to rise in ground
potential overall swing will be reduced so leakage current
reduced. As we also know that leakage current also depends
upon the value stored in cell. As we know that we are using
6-T SRAM cell. Every transistor has different leakage
current in standby mode. Some transistor dissipates so much
leakage power that will affect the circuit performance. So
our aim to minimize that leakage power. In extra topology
we add three transistors.
Fig. 8: LPR scheme
Out of three transistors one transistor is driven by clock,
which is used as a control switch. In read or write mode we
will enable the clock so that there is a direct connection
Design and Simulation Low power SRAM Circuits
(IJSRD/Vol. 1/Issue 2/2013/0076)
All rights reserved by www.ijsrd.com
370
between ground supply and SRAM bit cell. However, if we
disable the clock when SRAM is in active mode then there
will be reduction in power supply due to which our stored
value will be affected and we will not get the proper output.
In standby mode we disable the clock so that there is an
alternate path and all the current flows through that path.
Due to this there is a reduction in input voltage swing and
due to this alternate path there is a reduction in leakage
current.
Figure 8 shows a schematic of an SRAM cell in
which LPRS is applied. The switch provides 0 volt at
ground node during the active mode and raises ground level
(virtual ground) during the inactive mode. This scheme is
similar to the diode footed cache design scheme proposed to
control gate and sub-threshold leakage in SRAM cell, in
which a diode designed with high Vt MOS transistor was
used to raise the ground level in inactive mode.
Effect on gate leakageB.
Let us consider the impact of this approach on gate leakage
first. As we know that in this approach we increase the
ground potential to increase to reduce the leakage current.
An increase in the virtual ground voltage (VS in fig.8),
result in decrease of gate-source voltage and gate-drain
voltage of transistor M1 and gate-drain voltage of transistor
M2 and result in sharp reduction in gate leakage currents of
two transistors. However there is no improvement in gate
leakage currents for transistors M5 and M6. We know that
M5 and M6 are the access transistor. In fact as a result of
increase in drain voltage of M1, a new gate leakage current
appear in transistor M5 as indicated in Figure 8.In
corporation of LPRS results in another new gate leakage
current through NMOS transistor in MOS switch.
Although only one transistor is normally used for one bank
of SRAM cells, leakage current through it is not necessarily
negligible because its size has to be much larger than
NMOS transistors within the SRAM cell to avoid
performance degradation in the active state.
Effect on subthreshold leakageC.
Sub threshold leakage is also an important component in
leakage current. This leakage comes in picture when
transistor is in off state and operated in sub threshold mode.
If this leakage increases from its maximum value then it will
affect the whole circuit performance and we will not be able
to get a proper output. As we also know that this leakage
current is also depend on the value stored in the SRAM bit
cell. As for as sub-threshold leakage current are concerned,
LPRS approach is successful in reducing currents through
M3, M2 and M5 as well. To summarize it should be added
that while all sub-threshold currents are reduced using LPRS
approach, it is the only partially successful in reducing gate
leakage current.
IV. SIMULATION RESULTS & PERFORMANCE
COMPARISON OF PROPOSED TOPOLOGIES
Performance comparisonA.
The simulation results verified for 10 cycles of ‘Read’ and
‘Write’ operations with the conventional 6 transistor SRAM
cell and SRAM cells incorporating the proposed leakage
reduction schemes. The simulations have been carried out
on Cadence Design environment platform. Figs. 9, 15, 17
are the optimized schematics for conventional 6 T SRAM
cell, and cells incorporating USRS and LPRS respectively.
Furthermore, the rest of the figures are tool generated
waveforms for currents in the individual transistors and bit
line and word line signals.
The leakage currents in the conventional and the
schemes suggested in previous chapters are shown in Table
1. Although from the waveforms it is evident that current in
an individual transistor fluctuate during a ‘Read’ ‘Write’
cycle, in this case, only average value of current in standby
mode has been measured and reported. Compared to the
conventional 6 T SRAM cell, LPRS suppresses the total
leakage by 59.8%. While USR scheme without changing the
bit line voltages provides a leakage reduction of 69.7%.
However, USR-A scheme does not give any significant
advantage over the conventional SRAM cell. One of the
possible reasons for this is the large current swing obtained
when the pre-charge transistors are cut off from the supply.
The efforts to minimize this large current swing would
comprise the task for the future workers in the field.
However, it can be safely presumed that both USRS and
LPRS have served to reduce both gate and sub threshold
leakages substantially.
Fig. 9: 6-T Static RAM cell schematic
Fig. 10. Current waveforms of Read ‘0’ operation (for
conventional 6-T cell)
Design and Simulation Low power SRAM Circuits
(IJSRD/Vol. 1/Issue 2/2013/0076)
All rights reserved by www.ijsrd.com
371
Fig. 11. Word line and bit line waveform for data read “0”
operation
Fig. 12. Control signal and data Read ‘0’ operation
waveforms Read ‘0’ operation
Fig. 13. Bit line and current waveforms
Fig. 14. Current waveforms for for Read ‘1’ for Read ‘1’
operation
Fig. 15: Schematic for USR scheme
Fig. 16: Current waveforms for Read ‘1’ operation
Design and Simulation Low power SRAM Circuits
(IJSRD/Vol. 1/Issue 2/2013/0076)
All rights reserved by www.ijsrd.com
372
Fig. 17: Schematic for LPR scheme
Table 1: For SRAM cell Reduction
V. CONCLUSION
The present work analyzes the latest developments in low-
power circuit techniques and methods with an emphasis on
SRAMs. Appropriate methods for reduction of power
consumption were studied such as capacitance reduction,
very low operating voltages, DC and AC current reduction
and suppression of leakage currents to name a few.. Many of
reviewed techniques are applicable to other applications
such as ASICs, DSPs, etc. Battery and solar-cell operation
requires an operating voltage environment in low voltage
area. These conditions demand new design approaches and
more sophisticated concepts to retain high device reliability.
The proposed techniques (USRS and LPRS) are topology
based and hence easier to implement. The substantial
reduction in leakage currents obtained proves them to be as
effective as any fabrication level technique would be.
REFERENCES
[1] Michael Powell Se-Hyun Yang, Babak Falsafi, Kaushik
Roy, and T. N. Vijay Kumar “Gated-Vdd: A Circuit
Technique to Reduce Leakage in Deep-Submicron
Cache Memories, in proceeding ACM, ISLPED, koria
2000.
[2] Masaya Sumita, Shiro Sakiyama, Masayoshi Kinoshita,
Yuta Araki, Yuichiro Ikeda, and Kohei Fukuoka.,
“Mixed body bias techniques with Fixed Vt and Ids
Generation Circuits, IEEE Journal of solid-state
circuits, VOL. 40, NO. 1, Jan 2005.
[3] Liqiong Wei., Zhanping Chen, Kaushik Roy, Mark C.
Johnson, Yibin Ye and Vivek K. De. “Design and
Optimization of Dual-Threshold Circuits for Low-
Voltage Low-Power Applications” IEEE Transactions
on very large scale integration system, vol. 7, no. 1,
March 1999.
[4] Hiroaki Okuyama, Takeshi Nakano, Shuichi Nishida,
Etsuro Aono. Hisahiro Satoh and Shigeru Akita. “A7.5-
ns 32Kx8 CMOS SRAM” IEEE Journal of solid-state
circuits. vol. 23. no. 5. Oct, 1988.66
[5] Baker Mohammad, “Low Leakage Power SRAM Cell
for Embedded Memory” International Conference on
Innovations in Information Technology, 2011.
[6] Xuning Chen and LiShiuan Peh “Leakage Power
Modeling and Optimization in Interconnection
Networks” in proceeding ACM Islped, koria, 2003
[7]K. Takada., “A 16Mb 400MHz Loadless CMOS Four-
Transistor SRAM Macro,” ISSCC 2000.
[8] Anh-Tuan Do,Zhi-Hui Kong, Kiat-Seng Yeo, and
Jeremy Yung Shern Low “Design and Sensitivity
Analysis of a New Current-Mode Sense Amplifier for
Low-Power SRAM” IEEE Transaction on very large
scale integration systems vol. 19, no. 2, Feb. 2011.
[9] Ramy E. Aly, A. Bayoumi and Mohamed Elgamel “Dual
Sense Amplified Bit Lines (DSABL) Architecture for
Low-Power SRAM Design”, IEEE, 2005.
[10] Shin-Pao Cheng and Shi-Yu Huang “A Low-Power
SRAM Design Using Quiet-Bitline Architecture”
Proceedings of the 2005 IEEE International Workshop
on Memory Technology, Design, and Testing, 2005.
[11] Randy W. Mann, Jiajing Wanga, Satyanand Nalam,
Sudhanshu Khanna, Geordie Braceras , Harold Pilo,
Benton H. Calhoun a “Impact of circuit assist methods
on margin and performance in 6T SRAM” Solid State
Electronics Elsevier, 2010.
[12] Gu Ming Yang Jun, Xue Jun. “Low Power SRAM
Design Using Charge Sharing Technique”, IEEE, 2005.
[13] Kiyoo Itoh. “Trends in Low-Power RAM Circuit
Technologies” IEEE, 1995.
[14] Zhang Zheng-xuan, Zhang En-xia “Practical
considerations in the design of SRAM cells on SOI”
Microelectronics Journal 39 (2008) 1829– 1833.

Más contenido relacionado

La actualidad más candente

Session 8,9 PCI Express
Session 8,9 PCI ExpressSession 8,9 PCI Express
Session 8,9 PCI ExpressSubhash Iyer
 
Static and Dynamic Read/Write memories
Static and Dynamic Read/Write memoriesStatic and Dynamic Read/Write memories
Static and Dynamic Read/Write memoriesAbhilash Nair
 
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCDesign and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCRabindranath Tagore University, Bhopal
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
Double data rate (ddr)
Double data rate (ddr)Double data rate (ddr)
Double data rate (ddr)Anderson Huang
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Altera Corporation
 
Slideshare - PCIe
Slideshare - PCIeSlideshare - PCIe
Slideshare - PCIeJin Wu
 
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick44CON
 
I2C protocol and DS1307 RTC interfacing
I2C protocol and DS1307 RTC interfacingI2C protocol and DS1307 RTC interfacing
I2C protocol and DS1307 RTC interfacingBhargav Kakadiya
 

La actualidad más candente (20)

dual-port RAM (DPRAM)
dual-port RAM (DPRAM)dual-port RAM (DPRAM)
dual-port RAM (DPRAM)
 
Session 8,9 PCI Express
Session 8,9 PCI ExpressSession 8,9 PCI Express
Session 8,9 PCI Express
 
Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
 
Pc ie tl_layer (3)
Pc ie tl_layer (3)Pc ie tl_layer (3)
Pc ie tl_layer (3)
 
Static and Dynamic Read/Write memories
Static and Dynamic Read/Write memoriesStatic and Dynamic Read/Write memories
Static and Dynamic Read/Write memories
 
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCDesign and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
Double data rate (ddr)
Double data rate (ddr)Double data rate (ddr)
Double data rate (ddr)
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
The I2C Interface
The I2C InterfaceThe I2C Interface
The I2C Interface
 
DDR SDRAMs
DDR SDRAMsDDR SDRAMs
DDR SDRAMs
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
 
Dual port ram
Dual port ramDual port ram
Dual port ram
 
Slideshare - PCIe
Slideshare - PCIeSlideshare - PCIe
Slideshare - PCIe
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick
 
axi protocol
axi protocolaxi protocol
axi protocol
 
SRAM
SRAMSRAM
SRAM
 
I2C protocol and DS1307 RTC interfacing
I2C protocol and DS1307 RTC interfacingI2C protocol and DS1307 RTC interfacing
I2C protocol and DS1307 RTC interfacing
 

Similar a Design and Simulation Low power SRAM Circuits

A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET Journal
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...VLSICS Design
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...VLSICS Design
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...IDES Editor
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 

Similar a Design and Simulation Low power SRAM Circuits (20)

A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 

Más de ijsrd.com

IoT Enabled Smart Grid
IoT Enabled Smart GridIoT Enabled Smart Grid
IoT Enabled Smart Gridijsrd.com
 
A Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of ThingsA Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of Thingsijsrd.com
 
IoT for Everyday Life
IoT for Everyday LifeIoT for Everyday Life
IoT for Everyday Lifeijsrd.com
 
Study on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTStudy on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTijsrd.com
 
Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...ijsrd.com
 
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...ijsrd.com
 
A Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's LifeA Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's Lifeijsrd.com
 
Pedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language LearningPedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language Learningijsrd.com
 
Virtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation SystemVirtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation Systemijsrd.com
 
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...ijsrd.com
 
Understanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart RefrigeratorUnderstanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart Refrigeratorijsrd.com
 
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...ijsrd.com
 
A Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingA Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingijsrd.com
 
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web LogsWeb Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logsijsrd.com
 
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMAPPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMijsrd.com
 
Making model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point TrackingMaking model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point Trackingijsrd.com
 
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...ijsrd.com
 
Study and Review on Various Current Comparators
Study and Review on Various Current ComparatorsStudy and Review on Various Current Comparators
Study and Review on Various Current Comparatorsijsrd.com
 
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
 
Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.ijsrd.com
 

Más de ijsrd.com (20)

IoT Enabled Smart Grid
IoT Enabled Smart GridIoT Enabled Smart Grid
IoT Enabled Smart Grid
 
A Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of ThingsA Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of Things
 
IoT for Everyday Life
IoT for Everyday LifeIoT for Everyday Life
IoT for Everyday Life
 
Study on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTStudy on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOT
 
Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...
 
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
 
A Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's LifeA Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's Life
 
Pedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language LearningPedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language Learning
 
Virtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation SystemVirtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation System
 
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
 
Understanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart RefrigeratorUnderstanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart Refrigerator
 
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
 
A Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingA Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processing
 
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web LogsWeb Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
 
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMAPPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
 
Making model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point TrackingMaking model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point Tracking
 
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
 
Study and Review on Various Current Comparators
Study and Review on Various Current ComparatorsStudy and Review on Various Current Comparators
Study and Review on Various Current Comparators
 
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
 
Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.
 

Último

Multicomponent Spiral Wound Membrane Separation Model.pdf
Multicomponent Spiral Wound Membrane Separation Model.pdfMulticomponent Spiral Wound Membrane Separation Model.pdf
Multicomponent Spiral Wound Membrane Separation Model.pdfGiovanaGhasary1
 
Strategies of Urban Morphologyfor Improving Outdoor Thermal Comfort and Susta...
Strategies of Urban Morphologyfor Improving Outdoor Thermal Comfort and Susta...Strategies of Urban Morphologyfor Improving Outdoor Thermal Comfort and Susta...
Strategies of Urban Morphologyfor Improving Outdoor Thermal Comfort and Susta...amrabdallah9
 
ASME BPVC 2023 Section I para leer y entender
ASME BPVC 2023 Section I para leer y entenderASME BPVC 2023 Section I para leer y entender
ASME BPVC 2023 Section I para leer y entenderjuancarlos286641
 
Test of Significance of Large Samples for Mean = µ.pptx
Test of Significance of Large Samples for Mean = µ.pptxTest of Significance of Large Samples for Mean = µ.pptx
Test of Significance of Large Samples for Mean = µ.pptxHome
 
Graphics Primitives and CG Display Devices
Graphics Primitives and CG Display DevicesGraphics Primitives and CG Display Devices
Graphics Primitives and CG Display DevicesDIPIKA83
 
Design of Clutches and Brakes in Design of Machine Elements.pptx
Design of Clutches and Brakes in Design of Machine Elements.pptxDesign of Clutches and Brakes in Design of Machine Elements.pptx
Design of Clutches and Brakes in Design of Machine Elements.pptxYogeshKumarKJMIT
 
cloud computing notes for anna university syllabus
cloud computing notes for anna university syllabuscloud computing notes for anna university syllabus
cloud computing notes for anna university syllabusViolet Violet
 
UNIT4_ESD_wfffffggggggggggggith_ARM.pptx
UNIT4_ESD_wfffffggggggggggggith_ARM.pptxUNIT4_ESD_wfffffggggggggggggith_ARM.pptx
UNIT4_ESD_wfffffggggggggggggith_ARM.pptxrealme6igamerr
 
me3493 manufacturing technology unit 1 Part A
me3493 manufacturing technology unit 1 Part Ame3493 manufacturing technology unit 1 Part A
me3493 manufacturing technology unit 1 Part Akarthi keyan
 
دليل تجارب الاسفلت المختبرية - Asphalt Experiments Guide Laboratory
دليل تجارب الاسفلت المختبرية - Asphalt Experiments Guide Laboratoryدليل تجارب الاسفلت المختبرية - Asphalt Experiments Guide Laboratory
دليل تجارب الاسفلت المختبرية - Asphalt Experiments Guide LaboratoryBahzad5
 
nvidia AI-gtc 2024 partial slide deck.pptx
nvidia AI-gtc 2024 partial slide deck.pptxnvidia AI-gtc 2024 partial slide deck.pptx
nvidia AI-gtc 2024 partial slide deck.pptxjasonsedano2
 
solar wireless electric vechicle charging system
solar wireless electric vechicle charging systemsolar wireless electric vechicle charging system
solar wireless electric vechicle charging systemgokuldongala
 
sdfsadopkjpiosufoiasdoifjasldkjfl a asldkjflaskdjflkjsdsdf
sdfsadopkjpiosufoiasdoifjasldkjfl a asldkjflaskdjflkjsdsdfsdfsadopkjpiosufoiasdoifjasldkjfl a asldkjflaskdjflkjsdsdf
sdfsadopkjpiosufoiasdoifjasldkjfl a asldkjflaskdjflkjsdsdfJulia Kaye
 
Landsman converter for power factor improvement
Landsman converter for power factor improvementLandsman converter for power factor improvement
Landsman converter for power factor improvementVijayMuni2
 
Summer training report on BUILDING CONSTRUCTION for DIPLOMA Students.pdf
Summer training report on BUILDING CONSTRUCTION for DIPLOMA Students.pdfSummer training report on BUILDING CONSTRUCTION for DIPLOMA Students.pdf
Summer training report on BUILDING CONSTRUCTION for DIPLOMA Students.pdfNaveenVerma126
 
Lecture 1: Basics of trigonometry (surveying)
Lecture 1: Basics of trigonometry (surveying)Lecture 1: Basics of trigonometry (surveying)
Lecture 1: Basics of trigonometry (surveying)Bahzad5
 

Último (20)

Multicomponent Spiral Wound Membrane Separation Model.pdf
Multicomponent Spiral Wound Membrane Separation Model.pdfMulticomponent Spiral Wound Membrane Separation Model.pdf
Multicomponent Spiral Wound Membrane Separation Model.pdf
 
計劃趕得上變化
計劃趕得上變化計劃趕得上變化
計劃趕得上變化
 
Litature Review: Research Paper work for Engineering
Litature Review: Research Paper work for EngineeringLitature Review: Research Paper work for Engineering
Litature Review: Research Paper work for Engineering
 
Strategies of Urban Morphologyfor Improving Outdoor Thermal Comfort and Susta...
Strategies of Urban Morphologyfor Improving Outdoor Thermal Comfort and Susta...Strategies of Urban Morphologyfor Improving Outdoor Thermal Comfort and Susta...
Strategies of Urban Morphologyfor Improving Outdoor Thermal Comfort and Susta...
 
ASME BPVC 2023 Section I para leer y entender
ASME BPVC 2023 Section I para leer y entenderASME BPVC 2023 Section I para leer y entender
ASME BPVC 2023 Section I para leer y entender
 
Présentation IIRB 2024 Chloe Dufrane.pdf
Présentation IIRB 2024 Chloe Dufrane.pdfPrésentation IIRB 2024 Chloe Dufrane.pdf
Présentation IIRB 2024 Chloe Dufrane.pdf
 
Test of Significance of Large Samples for Mean = µ.pptx
Test of Significance of Large Samples for Mean = µ.pptxTest of Significance of Large Samples for Mean = µ.pptx
Test of Significance of Large Samples for Mean = µ.pptx
 
Graphics Primitives and CG Display Devices
Graphics Primitives and CG Display DevicesGraphics Primitives and CG Display Devices
Graphics Primitives and CG Display Devices
 
Design of Clutches and Brakes in Design of Machine Elements.pptx
Design of Clutches and Brakes in Design of Machine Elements.pptxDesign of Clutches and Brakes in Design of Machine Elements.pptx
Design of Clutches and Brakes in Design of Machine Elements.pptx
 
cloud computing notes for anna university syllabus
cloud computing notes for anna university syllabuscloud computing notes for anna university syllabus
cloud computing notes for anna university syllabus
 
Présentation IIRB 2024 Marine Cordonnier.pdf
Présentation IIRB 2024 Marine Cordonnier.pdfPrésentation IIRB 2024 Marine Cordonnier.pdf
Présentation IIRB 2024 Marine Cordonnier.pdf
 
UNIT4_ESD_wfffffggggggggggggith_ARM.pptx
UNIT4_ESD_wfffffggggggggggggith_ARM.pptxUNIT4_ESD_wfffffggggggggggggith_ARM.pptx
UNIT4_ESD_wfffffggggggggggggith_ARM.pptx
 
me3493 manufacturing technology unit 1 Part A
me3493 manufacturing technology unit 1 Part Ame3493 manufacturing technology unit 1 Part A
me3493 manufacturing technology unit 1 Part A
 
دليل تجارب الاسفلت المختبرية - Asphalt Experiments Guide Laboratory
دليل تجارب الاسفلت المختبرية - Asphalt Experiments Guide Laboratoryدليل تجارب الاسفلت المختبرية - Asphalt Experiments Guide Laboratory
دليل تجارب الاسفلت المختبرية - Asphalt Experiments Guide Laboratory
 
nvidia AI-gtc 2024 partial slide deck.pptx
nvidia AI-gtc 2024 partial slide deck.pptxnvidia AI-gtc 2024 partial slide deck.pptx
nvidia AI-gtc 2024 partial slide deck.pptx
 
solar wireless electric vechicle charging system
solar wireless electric vechicle charging systemsolar wireless electric vechicle charging system
solar wireless electric vechicle charging system
 
sdfsadopkjpiosufoiasdoifjasldkjfl a asldkjflaskdjflkjsdsdf
sdfsadopkjpiosufoiasdoifjasldkjfl a asldkjflaskdjflkjsdsdfsdfsadopkjpiosufoiasdoifjasldkjfl a asldkjflaskdjflkjsdsdf
sdfsadopkjpiosufoiasdoifjasldkjfl a asldkjflaskdjflkjsdsdf
 
Landsman converter for power factor improvement
Landsman converter for power factor improvementLandsman converter for power factor improvement
Landsman converter for power factor improvement
 
Summer training report on BUILDING CONSTRUCTION for DIPLOMA Students.pdf
Summer training report on BUILDING CONSTRUCTION for DIPLOMA Students.pdfSummer training report on BUILDING CONSTRUCTION for DIPLOMA Students.pdf
Summer training report on BUILDING CONSTRUCTION for DIPLOMA Students.pdf
 
Lecture 1: Basics of trigonometry (surveying)
Lecture 1: Basics of trigonometry (surveying)Lecture 1: Basics of trigonometry (surveying)
Lecture 1: Basics of trigonometry (surveying)
 

Design and Simulation Low power SRAM Circuits

  • 1. IJSRD - International Journal for Scientific Research & Development| Vol. 1, Issue 2, 2013 | ISSN (online): 2321-0613 All rights reserved by www.ijsrd.com 367 Design and Simulation Low power SRAM Circuits Garima Jain1 1 Research Scholar 1 Mewar University, Chittorgarh, Rajasthan Abstract—(SRAMs), focusing on optimizing delay and power. As the scaling trends in the speed and power of SRAMs with size and technology and find that the SRAM delay scales as the logarithm of its size as long as the interconnect delay is negligible. Non-scaling of threshold mismatches with process scaling, causes the signal swings in the bitlines and data lines also not to scale, leading to an increase in the relative delay of an SRAM, across technology generations. Appropriate methods for reduction of power consumption were studied such as capacitance reduction, very low operating voltages, DC and AC current reduction and suppression of leakage currents to name a few.. Many of reviewed techniques are applicable to other applications such as ASICs, DSPs, etc. Battery and solar- cell operation requires an operating voltage environment in low voltage area. These conditions demand new design approaches and more sophisticated concepts to retain high device reliability. The proposed techniques (USRS and LPRS) are topology based and hence easier to implement. Keywords: LPRS, SRAM, SNM, Sense amplifiers (SA), UPRS I. INTRODUCTION The stability of embedded Static Random Access Memories (SRAMs) is a growing concern in the design and test community [1, 2, 3]. Maintaining an acceptable Static Noise Margin (SNM) in embedded SRAMs while scaling the minimal feature sizes and supply voltages of the Systems-on-a-Chip (SoC) becomes increasingly challenging. The increased process spreads of modern scaled-down technologies and non-catastrophic defect- related sensitivity to environmental parameters can cause stability degradation in SRAMs [4]. Moreover, the minimal feature sizes of SRAM cell transistors combined with the high packing density of SRAM arrays, often involving relaxed design rules, aggravate this problem. The static noise margin (SNM) can serve as a figure of merit in evaluation of the stability of SRAM cells. Due to various factors the SNM of even defect free cells is declining with scaling. In the presence of non-catastrophic defects such as poor vias and contacts, cell stability is degraded even further. However, such defective cells can still escape the standard functional tests (e.g., march tests). International Technology Roadmap for Semiconductors (ITRS)-2003 [5, 6] predicts “greater parametric yield loss with respect to noise margins" for high density circuits. The growing gap between the Micro Processor Unit (MPU) cycle time and DRAM access time necessitated the introduction of several levels of caching in modern data processors. In personal computer MPUs such levels are often represented by Ll and L2 on-chip embedded SRAM cache memories. As the speed gap between MPU, memory and mass storage continues to widen, deeper memory hierarchies have been introduced in high-end server microprocessors [7]. Fig 1: Computer memory hierarchy. II. SRAM DESIGN AND OPERATION SRAM Block StructureA. Figure 2 shows an example of the basic SRAM block structure. A row decoder gated by the timing block decodes X row address bits and selects one of the word lines WL_O-WL_N- 1. If an SRAM array of N rows and M bits is arranged in a page manner, an additional Z-decoder activates the accessed page. Figure 2 shows an example with four pages of NxM arrays with the corresponding I/O circuitry. Fig 2: SRAM block diagram Memories can be bit-oriented or word-oriented. In a bit- oriented memory, each address accesses a single bit. Whereas in a word-oriented memory, a word consisting of ti (where the popular values of ti include 8, 16, 32 or 64) bits is accessed with each address. Column decoders or column
  • 2. Design and Simulation Low power SRAM Circuits (IJSRD/Vol. 1/Issue 2/2013/0076) All rights reserved by www.ijsrd.com 368 MUXs (YMUX) addressed by Y address bits are often used to allow sharing of a single sense amplifier among 2, 4 or more columns. Most of modern SRAMs are self-timed, i.e. all the internal timing is generated by the timing block within an SRAM instance. An additional Chip Select (CS) signal, introducing an extra decoding hierarchy level, is often provided in multi-chip architectures. The SRAM CELLB. Memory cells are the key components of any SRAM serving for storage of binary information. A typical SRAM cell is comprised two cross-coupled inverters forming a latch and access transistors. Access transistors enable read and write access to the cell and cell isolation for the not-accessed state. An SRAM cell has to provide non-destructive read access, write capability and infinite storage (or data retention) time provided the power is supplied to the cell. Hierarchically, memory cells are arranged in cores, which can be further divided into blocks and arrays depending on the system speed and power requirements. There are three more recent SRAM cells: a resistive load four-transistor (4T) SRAM cell, a six-transistor (6T) CMOS SRAM cell and a loadless 4T SRAM cell. We will consider a six-transistor (6T) CMOS SRAM cell and will then discuss its advantages and disadvantages. The cell design considerations represent a tradeoff between cell area, robustness, speed and power. Cell size minimization is one of the most important design objectives. 6T CMOS SRAM Cell The mainstream six-transistor (6T) CMOS SRAM cell is shown in Figure 3. Similarly to one of the implementations of an SR latch, it consists of six transistors. Four transistors (Ql - Q4) comprise cross- coupled CMOS inverters and two NMOS transistors Q5 and Q6 provide read and write access to the cell. Upon the activation of the word line, the access transistors connect the two internal nodes of the cell to the true (BL) and the complementary (BLB) bit lines. Fig 3: Six-transistor (6T) CMOS SRAM cell. An SRAM cell has to provide a non-destructive read and a quick write - the two opposing requirements, which impose constraints on the cell transistor currents governed by their transistor sizing. Read Operation The read operation is stared by enabling the word line (WL) and connecting the precharged bit lines, BL and BLB, to the internal nodes of the cell. Upon read access, the bit line voltage VBL remains at the precharged level equal VDD. The complementary bit line voltage VBLB is discharged through transistors Ql and Q5 connected in series (Figure 4). Effectively, transistors Ql and Q5 form a voltage divider whose output is connected to the input of inverter Q2 - Q4 in Figure 3. Sizing of Ql and Q5 should ensure that inverter Q2 - Q4 does not switch causing a read upset. In other words, 0 +, 6. V should be less than the switching threshold of inverter Q2 - Q4 plus some safety margin or Noise Margin Fig 4: Simplified model of a 6T CMOS SRAM cell during a read operation. Fig 5: One and can be varied depending on the target application of the cell from approximately 1 to 2.5. Larger CRs provide higher read current Iread (and hence - the speed) and SNM (see Figure 5(b)) at the expense of larger area taken by the driver transistors Q1 and Q2. Whereas smaller CRs make for a more compact cell with moderate speed and noise margins. Both for ensuring cell stability and reducing the leakage current of the access transistors, a preferred sizing solution is to use a minimum width with a slightly larger than minimal length access transistors and a larger than minimal width with a minimal length driver transistors.
  • 3. Design and Simulation Low power SRAM Circuits (IJSRD/Vol. 1/Issue 2/2013/0076) All rights reserved by www.ijsrd.com 369 Once the complementary bit line voltage VBLB has been discharged to a certain VDD -, 6. sufficient for reliable sensing by the sense amplifier, the sense amplifier is enabled and amplifies the small differential voltage between the bit lines to the full-swing CMOS level. Write Operation2) The write operation is similar to resetting an SR latch. One of the bit lines, e.g., BL in Figure 6, is driven from precharged value (VDD) to the ground potential by a write driver through transistor Q6. If transistors Q4 and Q6 are properly sized, then the cell is flipped and its data is effectively overwritten. Note that the write operation is applied to the node storing a "1". This is necessitated by the non-destructive read constraint that ensures that a "0" node does not exceed the switching threshold of inverter Q2 - Q4. The function of the pull-up transistors is only to maintain the high level on the "I" storage node and prevent its discharge by the off-state leakage current of the driver transistor during data retention and to provide the low-to-high transition during overwriting. Figure 6 Simplified model of a 6T CMOS SRAM cell during a write operation Assuming that the switching will not start before “1” node is below a simplified overwrite condition can be expressed as [3] where the pull-up ratio of the cell, PR, is defined as: Fig 7: Sense Amplifier and Precharge-Equalization3) Sense amplifiers (SA) represent an important component in memory design. The choice and design of an SA defines the robustness of bit line sensing, impacts the read speed and power. Due to the variety of SAs in semiconductor memories and the impact they have on the final specs of the memory, the sense amplifiers have become a separate class of circuits. The primary function of an SA in SRAM is to amplify a small analog differential voltage developed on the bit lines by a read-accessed cell to the full swing digital output signal thus greatly reducing the time required for a read operation. Since SRAM do not feature data refresh after sensing, the sensing operation has to be nondestructive, as opposed to a destructive sensing of a DRAM cell. Having an SA allows the storage cells to be small, since each individual cell need not fully discharge the bit line. III. LOWER POTENTIAL RAISING SCHEME (LPRS) FOR REDUCTION OF LEAKAGE Topology and current reduction mechanism:A. There are three methods that have been used in the present work to reduce leakage current in standby mode. Out of the three techniques one has been discussed in the previous chapter. Here we discuss the second techniques called LPRS scheme. In this technique we raise the ground potential to a some an extent in standby mode. Due to rise in ground potential overall swing will be reduced so leakage current reduced. As we also know that leakage current also depends upon the value stored in cell. As we know that we are using 6-T SRAM cell. Every transistor has different leakage current in standby mode. Some transistor dissipates so much leakage power that will affect the circuit performance. So our aim to minimize that leakage power. In extra topology we add three transistors. Fig. 8: LPR scheme Out of three transistors one transistor is driven by clock, which is used as a control switch. In read or write mode we will enable the clock so that there is a direct connection
  • 4. Design and Simulation Low power SRAM Circuits (IJSRD/Vol. 1/Issue 2/2013/0076) All rights reserved by www.ijsrd.com 370 between ground supply and SRAM bit cell. However, if we disable the clock when SRAM is in active mode then there will be reduction in power supply due to which our stored value will be affected and we will not get the proper output. In standby mode we disable the clock so that there is an alternate path and all the current flows through that path. Due to this there is a reduction in input voltage swing and due to this alternate path there is a reduction in leakage current. Figure 8 shows a schematic of an SRAM cell in which LPRS is applied. The switch provides 0 volt at ground node during the active mode and raises ground level (virtual ground) during the inactive mode. This scheme is similar to the diode footed cache design scheme proposed to control gate and sub-threshold leakage in SRAM cell, in which a diode designed with high Vt MOS transistor was used to raise the ground level in inactive mode. Effect on gate leakageB. Let us consider the impact of this approach on gate leakage first. As we know that in this approach we increase the ground potential to increase to reduce the leakage current. An increase in the virtual ground voltage (VS in fig.8), result in decrease of gate-source voltage and gate-drain voltage of transistor M1 and gate-drain voltage of transistor M2 and result in sharp reduction in gate leakage currents of two transistors. However there is no improvement in gate leakage currents for transistors M5 and M6. We know that M5 and M6 are the access transistor. In fact as a result of increase in drain voltage of M1, a new gate leakage current appear in transistor M5 as indicated in Figure 8.In corporation of LPRS results in another new gate leakage current through NMOS transistor in MOS switch. Although only one transistor is normally used for one bank of SRAM cells, leakage current through it is not necessarily negligible because its size has to be much larger than NMOS transistors within the SRAM cell to avoid performance degradation in the active state. Effect on subthreshold leakageC. Sub threshold leakage is also an important component in leakage current. This leakage comes in picture when transistor is in off state and operated in sub threshold mode. If this leakage increases from its maximum value then it will affect the whole circuit performance and we will not be able to get a proper output. As we also know that this leakage current is also depend on the value stored in the SRAM bit cell. As for as sub-threshold leakage current are concerned, LPRS approach is successful in reducing currents through M3, M2 and M5 as well. To summarize it should be added that while all sub-threshold currents are reduced using LPRS approach, it is the only partially successful in reducing gate leakage current. IV. SIMULATION RESULTS & PERFORMANCE COMPARISON OF PROPOSED TOPOLOGIES Performance comparisonA. The simulation results verified for 10 cycles of ‘Read’ and ‘Write’ operations with the conventional 6 transistor SRAM cell and SRAM cells incorporating the proposed leakage reduction schemes. The simulations have been carried out on Cadence Design environment platform. Figs. 9, 15, 17 are the optimized schematics for conventional 6 T SRAM cell, and cells incorporating USRS and LPRS respectively. Furthermore, the rest of the figures are tool generated waveforms for currents in the individual transistors and bit line and word line signals. The leakage currents in the conventional and the schemes suggested in previous chapters are shown in Table 1. Although from the waveforms it is evident that current in an individual transistor fluctuate during a ‘Read’ ‘Write’ cycle, in this case, only average value of current in standby mode has been measured and reported. Compared to the conventional 6 T SRAM cell, LPRS suppresses the total leakage by 59.8%. While USR scheme without changing the bit line voltages provides a leakage reduction of 69.7%. However, USR-A scheme does not give any significant advantage over the conventional SRAM cell. One of the possible reasons for this is the large current swing obtained when the pre-charge transistors are cut off from the supply. The efforts to minimize this large current swing would comprise the task for the future workers in the field. However, it can be safely presumed that both USRS and LPRS have served to reduce both gate and sub threshold leakages substantially. Fig. 9: 6-T Static RAM cell schematic Fig. 10. Current waveforms of Read ‘0’ operation (for conventional 6-T cell)
  • 5. Design and Simulation Low power SRAM Circuits (IJSRD/Vol. 1/Issue 2/2013/0076) All rights reserved by www.ijsrd.com 371 Fig. 11. Word line and bit line waveform for data read “0” operation Fig. 12. Control signal and data Read ‘0’ operation waveforms Read ‘0’ operation Fig. 13. Bit line and current waveforms Fig. 14. Current waveforms for for Read ‘1’ for Read ‘1’ operation Fig. 15: Schematic for USR scheme Fig. 16: Current waveforms for Read ‘1’ operation
  • 6. Design and Simulation Low power SRAM Circuits (IJSRD/Vol. 1/Issue 2/2013/0076) All rights reserved by www.ijsrd.com 372 Fig. 17: Schematic for LPR scheme Table 1: For SRAM cell Reduction V. CONCLUSION The present work analyzes the latest developments in low- power circuit techniques and methods with an emphasis on SRAMs. Appropriate methods for reduction of power consumption were studied such as capacitance reduction, very low operating voltages, DC and AC current reduction and suppression of leakage currents to name a few.. Many of reviewed techniques are applicable to other applications such as ASICs, DSPs, etc. Battery and solar-cell operation requires an operating voltage environment in low voltage area. These conditions demand new design approaches and more sophisticated concepts to retain high device reliability. The proposed techniques (USRS and LPRS) are topology based and hence easier to implement. The substantial reduction in leakage currents obtained proves them to be as effective as any fabrication level technique would be. REFERENCES [1] Michael Powell Se-Hyun Yang, Babak Falsafi, Kaushik Roy, and T. N. Vijay Kumar “Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories, in proceeding ACM, ISLPED, koria 2000. [2] Masaya Sumita, Shiro Sakiyama, Masayoshi Kinoshita, Yuta Araki, Yuichiro Ikeda, and Kohei Fukuoka., “Mixed body bias techniques with Fixed Vt and Ids Generation Circuits, IEEE Journal of solid-state circuits, VOL. 40, NO. 1, Jan 2005. [3] Liqiong Wei., Zhanping Chen, Kaushik Roy, Mark C. Johnson, Yibin Ye and Vivek K. De. “Design and Optimization of Dual-Threshold Circuits for Low- Voltage Low-Power Applications” IEEE Transactions on very large scale integration system, vol. 7, no. 1, March 1999. [4] Hiroaki Okuyama, Takeshi Nakano, Shuichi Nishida, Etsuro Aono. Hisahiro Satoh and Shigeru Akita. “A7.5- ns 32Kx8 CMOS SRAM” IEEE Journal of solid-state circuits. vol. 23. no. 5. Oct, 1988.66 [5] Baker Mohammad, “Low Leakage Power SRAM Cell for Embedded Memory” International Conference on Innovations in Information Technology, 2011. [6] Xuning Chen and LiShiuan Peh “Leakage Power Modeling and Optimization in Interconnection Networks” in proceeding ACM Islped, koria, 2003 [7]K. Takada., “A 16Mb 400MHz Loadless CMOS Four- Transistor SRAM Macro,” ISSCC 2000. [8] Anh-Tuan Do,Zhi-Hui Kong, Kiat-Seng Yeo, and Jeremy Yung Shern Low “Design and Sensitivity Analysis of a New Current-Mode Sense Amplifier for Low-Power SRAM” IEEE Transaction on very large scale integration systems vol. 19, no. 2, Feb. 2011. [9] Ramy E. Aly, A. Bayoumi and Mohamed Elgamel “Dual Sense Amplified Bit Lines (DSABL) Architecture for Low-Power SRAM Design”, IEEE, 2005. [10] Shin-Pao Cheng and Shi-Yu Huang “A Low-Power SRAM Design Using Quiet-Bitline Architecture” Proceedings of the 2005 IEEE International Workshop on Memory Technology, Design, and Testing, 2005. [11] Randy W. Mann, Jiajing Wanga, Satyanand Nalam, Sudhanshu Khanna, Geordie Braceras , Harold Pilo, Benton H. Calhoun a “Impact of circuit assist methods on margin and performance in 6T SRAM” Solid State Electronics Elsevier, 2010. [12] Gu Ming Yang Jun, Xue Jun. “Low Power SRAM Design Using Charge Sharing Technique”, IEEE, 2005. [13] Kiyoo Itoh. “Trends in Low-Power RAM Circuit Technologies” IEEE, 1995. [14] Zhang Zheng-xuan, Zhang En-xia “Practical considerations in the design of SRAM cells on SOI” Microelectronics Journal 39 (2008) 1829– 1833.