SlideShare una empresa de Scribd logo
1 de 3
Descargar para leer sin conexión
IJSRD - International Journal for Scientific Research & Development| Vol. 1, Issue 2, 2013 | ISSN (online): 2321-0613
All rights reserved by www.ijsrd.com
Digital standard cell library Design flow
Tapan Varma1
1
PG Scholar, Department of Electronics Engineering
1
Gujarat Technological University, Ahmadabad, Gujarat, India
Abstract – Commercial library cells are companies
‘proprietary information and understandably companies
usually impose certain restrictions on the access and use of
their library cells. Those restrictions on commercial library
cells severely hamper VLSI research and teaching activities
of academia. To address the problem the goal of this paper
is to discuss the development of standard cell library. This
involves in creating new standard cells, layout design,
simulation and verification of each standard cell and finally
characterization of all cells for timing and functional
properties.
Keywords: ASIC design, Standard cell library, VLSI,
Layout design, Schematic design, Characterization.
I. INTRODUCTION
The key success factor for the rapid growth of the
integrated system is the use of ASIC library for various
system functions. It consists of predesigned and preverified
logic blocks that help designers to shorten product-
development time and manage the complexity of a chip
having millions of logic gates or more.
Standard cell library contains a collection of
components that are standardized at the logic or functional
level. It consists of cells or macrocells based on the unique
layout that designers use to implement the function of their
ASIC. The economic and efficient accomplishment of an
ASIC design depends heavily upon the choice of the library.
Therefore it is important to build library that full fills the
design requirement.
The growing demand for the integration of systems
with the maximum possible functionality by combining high
performance with a tolerable amount of power dissipation
has been driving the development and the modeling of
CMOS transistor technologies, especially with the growth of
the embedded and portable devices’ market. The need for
integration of more and more components onto a single
chip, by improving performance with reasonable energy
loss, motivated the migration from technologies around
100nm to the deep sub-micron regime.
The key success factor for the rapid growth of the
integrated system is the use of ASIC library for various
system functions. It consists of pre-designed and pre-
verified logic blocks that help designers to shorten product
development time and manage the complexity of a chip
having millions of logic gates or more.
In semiconductor design, standard cell
methodology is a method of designing Application Specific
Integrated Circuits (ASICs) with mostly digital-logic
features. Standard cell methodology is an example of design
abstraction, whereby a low- level VLSI-layout is
encapsulated into an abstract logic representation (such as
NAND gate). Along with semiconductor manufacturing
advances, standard cell methodology was responsible for
allowing designers to scale ASICs from comparatively
simple single- function ICs (of several thousand gates), to
complex multimillion gate devices (SoC).
A 2-input NAND or NOR function is sufficient to
form any arbitrary Boolean function set. But in modern
ASIC design, standard cell methodology is practiced with a
sizeable library (or libraries) of cells.
II. DIGITAL DESIGN FLOW
Figure.1RTL-to-GDSII digital design flow
industrially-compatible automated digital implementation
flows. The mapping of the RTL description into the
technology-dependent format, namely the gate-level
synthesis process, is performed based on a library of pre-
characterized CMOS logic gates, known as standard-cells.
These cells are organized in libraries, which minimally
include an inverter, a NAND and a NOR gate, as well as a
multiplexer and a tri-state buffer. These are the most
necessary ones, as they can be the basis for the
implementation of more complex circuits.
The gate-level synthesis stage is divided into two
steps. First, the RTL description is mapped to a technology
independent netlist of abstract gates. This is an intermediate
representation followed by the final technology-aware
mapping to the cells of the library used in synthesis. After
Digital Standard Battery Design flow
(IJSRD/Vol. 1/Issue 2/2013/00 )
All rights reserved by www.ijsrd.com
this mapping step, the synthesizer optimizes the design,
considering the timing, area and power constraints given by
the designer, as long as the available cells of the library.
Therefore, the synthesis tool should be aware of the
cells’ timing, area and power characteristics. Hence, the
standard cells should have been already characterized in
terms of performance, area and power dissipation, to enable
the synthesis tool’s optimizations. Characterization implies
that the transistor-level netlists of these cells should be fed
to an analog simulator with various input slews and output
load capacitances, as well as the operating conditions of
voltage and temperature, and simulated. The result of this
process is the timing, power and area characteristics for each
library’s cell, at the specific design corner and operating
conditions.
These metrics are written in a different file format adopted
by different tools. (Generally written in a LIB file) The LIB
file is also used at the physical implementation stage, which
follows synthesis. At this stage, the place-and-route tools
should be aware of the geometry of cells and interconnects,
as well as of design rules like the minimum inter-metal
spacing, given the technology.
This information is extracted from the physical
design (layout) of cells. The place-and-route tools need
information about the width and height of cells, as well as
the location and the dimensions of their input and output
pins. The cell layouts are driven to the Abstract Generator,
which produces the Library Exchange Format (LEF) file,
which contains this information. In addition to the above,
LEF files provide information about the technology’s metal
stack and the minimum width, spacing and thickness of the
available layers.
The successful completion of placement and
routing is followed by the post-layout simulation step, which
verifies the design’s functionality. This step requires the
information about the functionality of standard-cells,
comprising the post layout netlist. This information is
produced at the characterization and it is written to a Verilog
file, which includes the gate level netlist of each cell, along
with the input-to- output delay of each path.
In the RTL-to-GDSII flow of Fig. 1, the LIB is used for
timing and power analysis at both the post- synthesis and the
post-layout steps. The information in the LEF file
determines placement and routing, by providing the cell and
interconnects physical dimensions and the design rules
regarding wires and VIAS these two files comprise the core
of a standard- cell library. A standard-cell library generation
suite should minimally produce both of them, along with the
gate-level netlist of cells, which is given usually in Verilog
and it is required for the post-synthesis and the post-layout
simulation of designs built with the specific standard-cell
library.
III. STANDARD CELL DESIGN FLOW
Schematic DesignA.
The Figure.2 shows the design flow for the standard cell.
The design starts with the circuit topology of the cell either
using schematic or netlist entry. At this stage, the width of
NMOS Wn and PMOS Wp, of the transistor are optimized.
Wp and Wn are selected to meet design specifications such
as power dissipation, propagation delay, noise immunity and
area.
The value of Wp and Wn are determined by:
 DC switching point, which is approximately 50%
of the Vdd.
 Driving capability of the cell, i.e. the number of fan
out that the cell can drive.
For an approximate same rise and fall time, Wp is normally
three times wider than Wn. A circuit simulator is used to
determine the switching point.
Figure.2 The flow chart for standard cell design
 Layout Methdology
If the circuit functions as expected, the physical design for
the cell will be created and the parasitic value such as
capacitance is back- annotated to obtain the actual delay
associated with the interconnect.
The layout uses the standard cell technique, where
signals are routed in polysilicon perpendicular to the power.
This approach result in a dense layout for CMOS gates, as
the vertical polysilicon wire can serve as the input to both
the NMOS and PMOS transistor. The row of NMOS and
PMOS transistor is separated by a distance specified in the
design rule separation between n and p active area. Power
and ground busses traverse the cell at the top and bottom
respectively. The internal area of the cell is used for routing
the MOSFET of specific gates. To complete the logic gate,
connections is made in metal, where metal1 routed
horizontally and metal2 vertically.
 Characterization Process
1) Introduction
The cells are simulated to ensure proper functionality and
timing. The results from the initial design and extracted
values are compared. There are many models which we can
use to simulate the nominal process from the fabrication.
Measurements of all delay times are at 50% to 50%
Vdd values. All rise/fall times are 20% to 80% Vdd values.
To obtain realistic manufacturing process characteristic,
circuit simulation is performed with temperature, voltage
and process parameter over the range of values that are
expected to occur. The critical values at process comer are
simulated with minimum and maximum condition.
Digital Standard Battery Design flow
(IJSRD/Vol. 1/Issue 2/2013/00 )
All rights reserved by www.ijsrd.com
293
To exercise all input-to-output paths through the
cells, input stimulus will be provided to the circuit
simulator. Since many repetitive executions of the circuit
simulator are required for each cell, the characterization is
done using an automatic cell characterization tool.
2) Characterization Flow
The characterization flow for std. cell is a major issue. Many
aspects of the characterization demand special attention. The
major steps are given below
Netlist Extraction: In this, the layout for the cell to
be characterized is made using any good layout editor for a
specific technology & then verified for the technology rules
violations & interconnections. After verifying the design,
the parasitic extraction is done in which resistance;
capacitance & other physical parasitic are being extracted
from the design.
Figure.3 characterization flow
Specification of Parameters: After the extraction,
some default, process & design dependent parameters are
defined. For example, doping density, voltage, temperature,
Fan out etc.
Model Selection and Specification: After
specifying the parameter values, different models are
selected depending on their accuracy, complexity &
requirement. A Model estimates the timing, area, power &
noise parameters of the std. cell. In real sense, Models are
mathematical equations used to calculate the o/p parameters.
Measurement: The output provided by the
simulator is then measured to extract the required
characterization parameters. The output may be in different
forms e.g. graphical view, bar chart and shmoo plot etc.
From these characteristics, different parameters like area,
power and timing are calculated for the std. cell.
Model Generation: Using these parameters, a
characterized o/p model for the std. cell is prepared in a
format to which a design tool can understand. All the
measured parameters are attached to std. cell.
Verification: After the characterization of the std.
cell, it is verified for different parameters by building a
design from it. If it gives the desired result then the library is
sign out. But if there is any error then flow is repeated from
different stages depending on the severity of the error.
 MODEL DEVELOPMENT AND
DOCUMENTATION
After characterizing, the cells functional description and
timing data are transformed to the format required by a
specific design tools. Most design tools utilize special-
purpose model formats with syntax for explicitly describing
propagation delays, timing checks, and other aspects of cell
behavior that are required by the tool.
The final requirement is a documentation that
summaries the functionality and timing of each cell. The
functionality is frequently described with truth table, and
timing data is presented in a simple format in the datasheet.
The documentation for each library contains:
 setup and hold times
 Minimum cycle time, enable and disable time
 truth table for small/medium complexity cells
 operating range of temperature and voltage
 fan-in and fan-out
 variation of timing due to temperature and
 voltage
 path delays
 library cell symbol
 Timing diagrams.
IV. CONCLUSION
As new technology arrives, IC design and manufacturing
activities become more complex. In such environment, a
successful design activity relies heavily on the ASIC library.
The advantages of having library are faster time-tomarket
and reuse of often complex logic functions. The design
methodology and requirement has been described. It is
obvious that a very careful procedure and format has to be
followed in order to produce a good cell library for ASIC
design. Finally, modeling the silicon into the EDA tools play
an important role to achieve accurate timing characteristic
for the library, thus guarantee a working final product.
Digital standard cell library is very useful in ASIC design.
Standard library cells improve designers’ productivity
through reduced design time and debugging. Implementing
this flow using CAD tools available in market, you can
design standard cell library.
ACKNOWLEDGEMENT
The authors would like to express their appreciation to the
Gujarat Technological University, Department of
Electronics Engineering for their kind. The authors also
would like to thanks the Seer Akademi Institute for giving
continuous guidance throughout the project.
REFERANCES
[1] Bekiaris, D., “A standard-cell library suite for deep-deep
sub-micron CMOS technologies”, 2011, IEEE
Conference Publications. Available:
http://ieeexplore.ieee.org/
[2] “Development of TSMC 0.25μm Standard Cell Library”
Available: http://www.vtvt.ece.vt.edu/
[3] Delay model information & difference between them.
Available: http://www.deepchip.com/
[4] “Standard Cell Characterization” by: Richard Sohnius,
Computer Architecture Group, University of
Mannheim. Available: http://webserver.ziti.uni-
heidelberg.de/
[5] Full Custom IC Design Flow Tutorial Using Synopsys
Cosmos Tools Available: http://userwww.sfsu.edu/

Más contenido relacionado

La actualidad más candente

Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variationsRajesh M
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Analog, IO Test Chip Validation
Analog,  IO Test Chip  ValidationAnalog,  IO Test Chip  Validation
Analog, IO Test Chip ValidationSMIT A. PATEL
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
fpga programming
fpga programmingfpga programming
fpga programmingAnish Gupta
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
High performance standard cell layout synthesis for advanced nanometer
High performance standard cell layout synthesis for advanced nanometerHigh performance standard cell layout synthesis for advanced nanometer
High performance standard cell layout synthesis for advanced nanometer國立交通大學
 
Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 
Vavo app irdrop_em_analysis_flow
Vavo app irdrop_em_analysis_flowVavo app irdrop_em_analysis_flow
Vavo app irdrop_em_analysis_flowAlan Tran
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
Clock mesh sizing slides
Clock mesh sizing slidesClock mesh sizing slides
Clock mesh sizing slidesRajesh M
 

La actualidad más candente (20)

Back end[1] debdeep
Back end[1]  debdeepBack end[1]  debdeep
Back end[1] debdeep
 
DSP Processors versus ASICs
DSP Processors versus ASICsDSP Processors versus ASICs
DSP Processors versus ASICs
 
Physical design
Physical design Physical design
Physical design
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variations
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Analog, IO Test Chip Validation
Analog,  IO Test Chip  ValidationAnalog,  IO Test Chip  Validation
Analog, IO Test Chip Validation
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
fpga programming
fpga programmingfpga programming
fpga programming
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
DSD
DSDDSD
DSD
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
High performance standard cell layout synthesis for advanced nanometer
High performance standard cell layout synthesis for advanced nanometerHigh performance standard cell layout synthesis for advanced nanometer
High performance standard cell layout synthesis for advanced nanometer
 
pramod
pramodpramod
pramod
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
Vavo app irdrop_em_analysis_flow
Vavo app irdrop_em_analysis_flowVavo app irdrop_em_analysis_flow
Vavo app irdrop_em_analysis_flow
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Clock mesh sizing slides
Clock mesh sizing slidesClock mesh sizing slides
Clock mesh sizing slides
 

Destacado

Standard cells library design
Standard cells library designStandard cells library design
Standard cells library designBharat Biyani
 
Phase lockedLoop
Phase lockedLoopPhase lockedLoop
Phase lockedLoopNasir Ihsan
 
Energy and area efficient three input xor xno rs with systematic cell design...
Energy and area efficient three input xor  xno rs with systematic cell design...Energy and area efficient three input xor  xno rs with systematic cell design...
Energy and area efficient three input xor xno rs with systematic cell design...LogicMindtech Nologies
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital icsaroosa khan
 
Phase locked loop
Phase locked loopPhase locked loop
Phase locked loopPreet_patel
 
Phase lock loop (pll)
Phase lock loop (pll)Phase lock loop (pll)
Phase lock loop (pll)sulaim_qais
 
Phase Locked Loop (PLL)
Phase Locked Loop (PLL)Phase Locked Loop (PLL)
Phase Locked Loop (PLL)Debayon Saha
 
Pll carrier synch f-ling_v1.2
Pll carrier synch f-ling_v1.2Pll carrier synch f-ling_v1.2
Pll carrier synch f-ling_v1.2Fuyun Ling
 
Introduction to pll
Introduction to pllIntroduction to pll
Introduction to pllsartaj ahmed
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilernaeemtayyab
 
CMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyCMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyIkhwan_Fakrudin
 
Cells Powerpoint Presentation
Cells Powerpoint PresentationCells Powerpoint Presentation
Cells Powerpoint Presentationcprizel
 

Destacado (17)

Standard cells library design
Standard cells library designStandard cells library design
Standard cells library design
 
STANDARD CELL LIBRARY DESIGN
STANDARD CELL LIBRARY DESIGNSTANDARD CELL LIBRARY DESIGN
STANDARD CELL LIBRARY DESIGN
 
Phase lockedLoop
Phase lockedLoopPhase lockedLoop
Phase lockedLoop
 
Energy and area efficient three input xor xno rs with systematic cell design...
Energy and area efficient three input xor  xno rs with systematic cell design...Energy and area efficient three input xor  xno rs with systematic cell design...
Energy and area efficient three input xor xno rs with systematic cell design...
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Phase locked loop
Phase locked loopPhase locked loop
Phase locked loop
 
Phase lock loop (pll)
Phase lock loop (pll)Phase lock loop (pll)
Phase lock loop (pll)
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Phase Locked Loop (PLL)
Phase Locked Loop (PLL)Phase Locked Loop (PLL)
Phase Locked Loop (PLL)
 
Pll carrier synch f-ling_v1.2
Pll carrier synch f-ling_v1.2Pll carrier synch f-ling_v1.2
Pll carrier synch f-ling_v1.2
 
Introduction to pll
Introduction to pllIntroduction to pll
Introduction to pll
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compiler
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
CMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyCMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodology
 
PHASE LOCK LOOPs
PHASE LOCK LOOPsPHASE LOCK LOOPs
PHASE LOCK LOOPs
 
Phase locked loop
Phase locked loopPhase locked loop
Phase locked loop
 
Cells Powerpoint Presentation
Cells Powerpoint PresentationCells Powerpoint Presentation
Cells Powerpoint Presentation
 

Similar a Digital Standard Battery Design Flow

NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSNEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSVLSICS Design
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdfAcademicICECE
 
A Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory OptimizationA Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory Optimizationijsrd.com
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptindrajeetPatel22
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
00123160
0012316000123160
00123160pani256
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersKumar Goud
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGALOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGAVLSICS Design
 
Performance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignPerformance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignYalagoud Patil
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
 
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199MalikPinckney86
 
introduction to cmos vlsi
introduction to cmos vlsi introduction to cmos vlsi
introduction to cmos vlsi ssuser593a2d
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J GreavesSatya Harish
 

Similar a Digital Standard Battery Design Flow (20)

NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSNEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
 
M Tech New Syllabus(2012)
M Tech New Syllabus(2012)M Tech New Syllabus(2012)
M Tech New Syllabus(2012)
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
 
A Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory OptimizationA Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory Optimization
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
00123160
0012316000123160
00123160
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select Adders
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGALOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
 
Performance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignPerformance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC Design
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
10
1010
10
 
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
 
introduction to cmos vlsi
introduction to cmos vlsi introduction to cmos vlsi
introduction to cmos vlsi
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J Greaves
 

Más de ijsrd.com

IoT Enabled Smart Grid
IoT Enabled Smart GridIoT Enabled Smart Grid
IoT Enabled Smart Gridijsrd.com
 
A Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of ThingsA Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of Thingsijsrd.com
 
IoT for Everyday Life
IoT for Everyday LifeIoT for Everyday Life
IoT for Everyday Lifeijsrd.com
 
Study on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTStudy on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTijsrd.com
 
Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...ijsrd.com
 
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...ijsrd.com
 
A Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's LifeA Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's Lifeijsrd.com
 
Pedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language LearningPedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language Learningijsrd.com
 
Virtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation SystemVirtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation Systemijsrd.com
 
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...ijsrd.com
 
Understanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart RefrigeratorUnderstanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart Refrigeratorijsrd.com
 
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...ijsrd.com
 
A Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingA Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingijsrd.com
 
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web LogsWeb Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logsijsrd.com
 
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMAPPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMijsrd.com
 
Making model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point TrackingMaking model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point Trackingijsrd.com
 
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...ijsrd.com
 
Study and Review on Various Current Comparators
Study and Review on Various Current ComparatorsStudy and Review on Various Current Comparators
Study and Review on Various Current Comparatorsijsrd.com
 
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
 
Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.ijsrd.com
 

Más de ijsrd.com (20)

IoT Enabled Smart Grid
IoT Enabled Smart GridIoT Enabled Smart Grid
IoT Enabled Smart Grid
 
A Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of ThingsA Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of Things
 
IoT for Everyday Life
IoT for Everyday LifeIoT for Everyday Life
IoT for Everyday Life
 
Study on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTStudy on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOT
 
Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...
 
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
 
A Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's LifeA Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's Life
 
Pedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language LearningPedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language Learning
 
Virtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation SystemVirtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation System
 
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
 
Understanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart RefrigeratorUnderstanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart Refrigerator
 
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
 
A Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingA Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processing
 
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web LogsWeb Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
 
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMAPPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
 
Making model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point TrackingMaking model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point Tracking
 
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
 
Study and Review on Various Current Comparators
Study and Review on Various Current ComparatorsStudy and Review on Various Current Comparators
Study and Review on Various Current Comparators
 
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
 
Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.
 

Último

DM Pillar Training Manual.ppt will be useful in deploying TPM in project
DM Pillar Training Manual.ppt will be useful in deploying TPM in projectDM Pillar Training Manual.ppt will be useful in deploying TPM in project
DM Pillar Training Manual.ppt will be useful in deploying TPM in projectssuserb6619e
 
Crushers to screens in aggregate production
Crushers to screens in aggregate productionCrushers to screens in aggregate production
Crushers to screens in aggregate productionChinnuNinan
 
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONTHE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONjhunlian
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
Crystal Structure analysis and detailed information pptx
Crystal Structure analysis and detailed information pptxCrystal Structure analysis and detailed information pptx
Crystal Structure analysis and detailed information pptxachiever3003
 
BSNL Internship Training presentation.pptx
BSNL Internship Training presentation.pptxBSNL Internship Training presentation.pptx
BSNL Internship Training presentation.pptxNiranjanYadav41
 
Engineering Drawing section of solid
Engineering Drawing     section of solidEngineering Drawing     section of solid
Engineering Drawing section of solidnamansinghjarodiya
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...121011101441
 
Input Output Management in Operating System
Input Output Management in Operating SystemInput Output Management in Operating System
Input Output Management in Operating SystemRashmi Bhat
 
Class 1 | NFPA 72 | Overview Fire Alarm System
Class 1 | NFPA 72 | Overview Fire Alarm SystemClass 1 | NFPA 72 | Overview Fire Alarm System
Class 1 | NFPA 72 | Overview Fire Alarm Systemirfanmechengr
 
"Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ..."Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ...Erbil Polytechnic University
 
System Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingSystem Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingBootNeck1
 
Ch10-Global Supply Chain - Cadena de Suministro.pdf
Ch10-Global Supply Chain - Cadena de Suministro.pdfCh10-Global Supply Chain - Cadena de Suministro.pdf
Ch10-Global Supply Chain - Cadena de Suministro.pdfChristianCDAM
 
Autonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptAutonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptbibisarnayak0
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdfCaalaaAbdulkerim
 
Main Memory Management in Operating System
Main Memory Management in Operating SystemMain Memory Management in Operating System
Main Memory Management in Operating SystemRashmi Bhat
 
Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substationstephanwindworld
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating SystemRashmi Bhat
 
Mine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxMine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxRomil Mishra
 

Último (20)

DM Pillar Training Manual.ppt will be useful in deploying TPM in project
DM Pillar Training Manual.ppt will be useful in deploying TPM in projectDM Pillar Training Manual.ppt will be useful in deploying TPM in project
DM Pillar Training Manual.ppt will be useful in deploying TPM in project
 
Crushers to screens in aggregate production
Crushers to screens in aggregate productionCrushers to screens in aggregate production
Crushers to screens in aggregate production
 
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONTHE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
Crystal Structure analysis and detailed information pptx
Crystal Structure analysis and detailed information pptxCrystal Structure analysis and detailed information pptx
Crystal Structure analysis and detailed information pptx
 
BSNL Internship Training presentation.pptx
BSNL Internship Training presentation.pptxBSNL Internship Training presentation.pptx
BSNL Internship Training presentation.pptx
 
Engineering Drawing section of solid
Engineering Drawing     section of solidEngineering Drawing     section of solid
Engineering Drawing section of solid
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...
 
Input Output Management in Operating System
Input Output Management in Operating SystemInput Output Management in Operating System
Input Output Management in Operating System
 
Class 1 | NFPA 72 | Overview Fire Alarm System
Class 1 | NFPA 72 | Overview Fire Alarm SystemClass 1 | NFPA 72 | Overview Fire Alarm System
Class 1 | NFPA 72 | Overview Fire Alarm System
 
"Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ..."Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ...
 
System Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingSystem Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event Scheduling
 
Ch10-Global Supply Chain - Cadena de Suministro.pdf
Ch10-Global Supply Chain - Cadena de Suministro.pdfCh10-Global Supply Chain - Cadena de Suministro.pdf
Ch10-Global Supply Chain - Cadena de Suministro.pdf
 
Autonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptAutonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.ppt
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdf
 
Main Memory Management in Operating System
Main Memory Management in Operating SystemMain Memory Management in Operating System
Main Memory Management in Operating System
 
Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substation
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating System
 
Mine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxMine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptx
 

Digital Standard Battery Design Flow

  • 1. IJSRD - International Journal for Scientific Research & Development| Vol. 1, Issue 2, 2013 | ISSN (online): 2321-0613 All rights reserved by www.ijsrd.com Digital standard cell library Design flow Tapan Varma1 1 PG Scholar, Department of Electronics Engineering 1 Gujarat Technological University, Ahmadabad, Gujarat, India Abstract – Commercial library cells are companies ‘proprietary information and understandably companies usually impose certain restrictions on the access and use of their library cells. Those restrictions on commercial library cells severely hamper VLSI research and teaching activities of academia. To address the problem the goal of this paper is to discuss the development of standard cell library. This involves in creating new standard cells, layout design, simulation and verification of each standard cell and finally characterization of all cells for timing and functional properties. Keywords: ASIC design, Standard cell library, VLSI, Layout design, Schematic design, Characterization. I. INTRODUCTION The key success factor for the rapid growth of the integrated system is the use of ASIC library for various system functions. It consists of predesigned and preverified logic blocks that help designers to shorten product- development time and manage the complexity of a chip having millions of logic gates or more. Standard cell library contains a collection of components that are standardized at the logic or functional level. It consists of cells or macrocells based on the unique layout that designers use to implement the function of their ASIC. The economic and efficient accomplishment of an ASIC design depends heavily upon the choice of the library. Therefore it is important to build library that full fills the design requirement. The growing demand for the integration of systems with the maximum possible functionality by combining high performance with a tolerable amount of power dissipation has been driving the development and the modeling of CMOS transistor technologies, especially with the growth of the embedded and portable devices’ market. The need for integration of more and more components onto a single chip, by improving performance with reasonable energy loss, motivated the migration from technologies around 100nm to the deep sub-micron regime. The key success factor for the rapid growth of the integrated system is the use of ASIC library for various system functions. It consists of pre-designed and pre- verified logic blocks that help designers to shorten product development time and manage the complexity of a chip having millions of logic gates or more. In semiconductor design, standard cell methodology is a method of designing Application Specific Integrated Circuits (ASICs) with mostly digital-logic features. Standard cell methodology is an example of design abstraction, whereby a low- level VLSI-layout is encapsulated into an abstract logic representation (such as NAND gate). Along with semiconductor manufacturing advances, standard cell methodology was responsible for allowing designers to scale ASICs from comparatively simple single- function ICs (of several thousand gates), to complex multimillion gate devices (SoC). A 2-input NAND or NOR function is sufficient to form any arbitrary Boolean function set. But in modern ASIC design, standard cell methodology is practiced with a sizeable library (or libraries) of cells. II. DIGITAL DESIGN FLOW Figure.1RTL-to-GDSII digital design flow industrially-compatible automated digital implementation flows. The mapping of the RTL description into the technology-dependent format, namely the gate-level synthesis process, is performed based on a library of pre- characterized CMOS logic gates, known as standard-cells. These cells are organized in libraries, which minimally include an inverter, a NAND and a NOR gate, as well as a multiplexer and a tri-state buffer. These are the most necessary ones, as they can be the basis for the implementation of more complex circuits. The gate-level synthesis stage is divided into two steps. First, the RTL description is mapped to a technology independent netlist of abstract gates. This is an intermediate representation followed by the final technology-aware mapping to the cells of the library used in synthesis. After
  • 2. Digital Standard Battery Design flow (IJSRD/Vol. 1/Issue 2/2013/00 ) All rights reserved by www.ijsrd.com this mapping step, the synthesizer optimizes the design, considering the timing, area and power constraints given by the designer, as long as the available cells of the library. Therefore, the synthesis tool should be aware of the cells’ timing, area and power characteristics. Hence, the standard cells should have been already characterized in terms of performance, area and power dissipation, to enable the synthesis tool’s optimizations. Characterization implies that the transistor-level netlists of these cells should be fed to an analog simulator with various input slews and output load capacitances, as well as the operating conditions of voltage and temperature, and simulated. The result of this process is the timing, power and area characteristics for each library’s cell, at the specific design corner and operating conditions. These metrics are written in a different file format adopted by different tools. (Generally written in a LIB file) The LIB file is also used at the physical implementation stage, which follows synthesis. At this stage, the place-and-route tools should be aware of the geometry of cells and interconnects, as well as of design rules like the minimum inter-metal spacing, given the technology. This information is extracted from the physical design (layout) of cells. The place-and-route tools need information about the width and height of cells, as well as the location and the dimensions of their input and output pins. The cell layouts are driven to the Abstract Generator, which produces the Library Exchange Format (LEF) file, which contains this information. In addition to the above, LEF files provide information about the technology’s metal stack and the minimum width, spacing and thickness of the available layers. The successful completion of placement and routing is followed by the post-layout simulation step, which verifies the design’s functionality. This step requires the information about the functionality of standard-cells, comprising the post layout netlist. This information is produced at the characterization and it is written to a Verilog file, which includes the gate level netlist of each cell, along with the input-to- output delay of each path. In the RTL-to-GDSII flow of Fig. 1, the LIB is used for timing and power analysis at both the post- synthesis and the post-layout steps. The information in the LEF file determines placement and routing, by providing the cell and interconnects physical dimensions and the design rules regarding wires and VIAS these two files comprise the core of a standard- cell library. A standard-cell library generation suite should minimally produce both of them, along with the gate-level netlist of cells, which is given usually in Verilog and it is required for the post-synthesis and the post-layout simulation of designs built with the specific standard-cell library. III. STANDARD CELL DESIGN FLOW Schematic DesignA. The Figure.2 shows the design flow for the standard cell. The design starts with the circuit topology of the cell either using schematic or netlist entry. At this stage, the width of NMOS Wn and PMOS Wp, of the transistor are optimized. Wp and Wn are selected to meet design specifications such as power dissipation, propagation delay, noise immunity and area. The value of Wp and Wn are determined by:  DC switching point, which is approximately 50% of the Vdd.  Driving capability of the cell, i.e. the number of fan out that the cell can drive. For an approximate same rise and fall time, Wp is normally three times wider than Wn. A circuit simulator is used to determine the switching point. Figure.2 The flow chart for standard cell design  Layout Methdology If the circuit functions as expected, the physical design for the cell will be created and the parasitic value such as capacitance is back- annotated to obtain the actual delay associated with the interconnect. The layout uses the standard cell technique, where signals are routed in polysilicon perpendicular to the power. This approach result in a dense layout for CMOS gates, as the vertical polysilicon wire can serve as the input to both the NMOS and PMOS transistor. The row of NMOS and PMOS transistor is separated by a distance specified in the design rule separation between n and p active area. Power and ground busses traverse the cell at the top and bottom respectively. The internal area of the cell is used for routing the MOSFET of specific gates. To complete the logic gate, connections is made in metal, where metal1 routed horizontally and metal2 vertically.  Characterization Process 1) Introduction The cells are simulated to ensure proper functionality and timing. The results from the initial design and extracted values are compared. There are many models which we can use to simulate the nominal process from the fabrication. Measurements of all delay times are at 50% to 50% Vdd values. All rise/fall times are 20% to 80% Vdd values. To obtain realistic manufacturing process characteristic, circuit simulation is performed with temperature, voltage and process parameter over the range of values that are expected to occur. The critical values at process comer are simulated with minimum and maximum condition.
  • 3. Digital Standard Battery Design flow (IJSRD/Vol. 1/Issue 2/2013/00 ) All rights reserved by www.ijsrd.com 293 To exercise all input-to-output paths through the cells, input stimulus will be provided to the circuit simulator. Since many repetitive executions of the circuit simulator are required for each cell, the characterization is done using an automatic cell characterization tool. 2) Characterization Flow The characterization flow for std. cell is a major issue. Many aspects of the characterization demand special attention. The major steps are given below Netlist Extraction: In this, the layout for the cell to be characterized is made using any good layout editor for a specific technology & then verified for the technology rules violations & interconnections. After verifying the design, the parasitic extraction is done in which resistance; capacitance & other physical parasitic are being extracted from the design. Figure.3 characterization flow Specification of Parameters: After the extraction, some default, process & design dependent parameters are defined. For example, doping density, voltage, temperature, Fan out etc. Model Selection and Specification: After specifying the parameter values, different models are selected depending on their accuracy, complexity & requirement. A Model estimates the timing, area, power & noise parameters of the std. cell. In real sense, Models are mathematical equations used to calculate the o/p parameters. Measurement: The output provided by the simulator is then measured to extract the required characterization parameters. The output may be in different forms e.g. graphical view, bar chart and shmoo plot etc. From these characteristics, different parameters like area, power and timing are calculated for the std. cell. Model Generation: Using these parameters, a characterized o/p model for the std. cell is prepared in a format to which a design tool can understand. All the measured parameters are attached to std. cell. Verification: After the characterization of the std. cell, it is verified for different parameters by building a design from it. If it gives the desired result then the library is sign out. But if there is any error then flow is repeated from different stages depending on the severity of the error.  MODEL DEVELOPMENT AND DOCUMENTATION After characterizing, the cells functional description and timing data are transformed to the format required by a specific design tools. Most design tools utilize special- purpose model formats with syntax for explicitly describing propagation delays, timing checks, and other aspects of cell behavior that are required by the tool. The final requirement is a documentation that summaries the functionality and timing of each cell. The functionality is frequently described with truth table, and timing data is presented in a simple format in the datasheet. The documentation for each library contains:  setup and hold times  Minimum cycle time, enable and disable time  truth table for small/medium complexity cells  operating range of temperature and voltage  fan-in and fan-out  variation of timing due to temperature and  voltage  path delays  library cell symbol  Timing diagrams. IV. CONCLUSION As new technology arrives, IC design and manufacturing activities become more complex. In such environment, a successful design activity relies heavily on the ASIC library. The advantages of having library are faster time-tomarket and reuse of often complex logic functions. The design methodology and requirement has been described. It is obvious that a very careful procedure and format has to be followed in order to produce a good cell library for ASIC design. Finally, modeling the silicon into the EDA tools play an important role to achieve accurate timing characteristic for the library, thus guarantee a working final product. Digital standard cell library is very useful in ASIC design. Standard library cells improve designers’ productivity through reduced design time and debugging. Implementing this flow using CAD tools available in market, you can design standard cell library. ACKNOWLEDGEMENT The authors would like to express their appreciation to the Gujarat Technological University, Department of Electronics Engineering for their kind. The authors also would like to thanks the Seer Akademi Institute for giving continuous guidance throughout the project. REFERANCES [1] Bekiaris, D., “A standard-cell library suite for deep-deep sub-micron CMOS technologies”, 2011, IEEE Conference Publications. Available: http://ieeexplore.ieee.org/ [2] “Development of TSMC 0.25μm Standard Cell Library” Available: http://www.vtvt.ece.vt.edu/ [3] Delay model information & difference between them. Available: http://www.deepchip.com/ [4] “Standard Cell Characterization” by: Richard Sohnius, Computer Architecture Group, University of Mannheim. Available: http://webserver.ziti.uni- heidelberg.de/ [5] Full Custom IC Design Flow Tutorial Using Synopsys Cosmos Tools Available: http://userwww.sfsu.edu/