SlideShare una empresa de Scribd logo
1 de 48
On-Chip Variation (OCV)
Ever thought what's an interviewer's favorite questions
to rip you off - all of my previous post :).
And On-Chip Variation (OCV) is one of them,
specifically for Static Timing Analysis interview. This
analysis is coming from people who got interviewed
and recruited into leading VLSI industries.
Most importantly, my posts and videos have helped
most of them and I really feel proud about it. Nice
feeling.
Coming back to analyze OCV, the first task is to find all
possible sources variation, and find out how these can
affect a delay of a cell and hence, timing.
First things first - Sources of variation
1) Etching
Look into the below layout of an inverter (which also
shows the Width (W) and Length (L) parameters of an
inverter)
And, a chain of inverters (this is mostly the case of
clock path, be with me for upcoming posts and I will
exactly let you know, why OCV is mainly applied on
clock paths, 50% should be clear from the term "chain
of inverters")
We use photo-lithography fabrication technique to
build the inverters on Silicon wafer, and this is a non-
ideal process, where the edges will not exactly be
straight lines, but there will be disturbances.
And why so, because the above technique needs photo-
masks which are created using etching, which is again
non-ideal. Below is how the ideal mask and real mask
look like
Now these variations on the sides, is also dependent
on what logic cell is present on either sides of this
inverter, if its surrounded by chain of inverters on
either sides, the variation on the sides will be less as
the process parameters to build mask for a chain of
similar size inverter, is almost the same.
But, if the inverters are surrounded by other gates, like
flip-flops, then the variation will be more.
With that said, the below inverters in the middle will
have a similar and less variations ......... (sentence
continues after the below image)
......(sentence continued from above) and the inverters
on the boundaries will have different and more
variations. (observe the difference in actual mask, in
below and above image)
And guess what.... this directly impacts the drain
current below, as it is proportional to (W/L) ratio
You feel, we are almost there.... not yet....we need to
identify other sources of variation, find out how the
current changes, and eventually, find out a range on
what should be the value of OCV....
After this, do you feel, we are done .... not yet .... :), we
need to use these OCV values, plug it in STA analysis
and see its (+ve or -ve) impact....
We were identifying sources of variations, and below is
the second one
2) Oxide Thickness
Let's go back to the inverter layout and look which part
are we talking about
Here, we are talking about gate oxide thickness
variation
Below is the section from inverter chain, which we are
worried about
If we go by ideal fabrication process, below is what you
will achieve, a perfectly cubic shape (below is the 2D
image, so it looks rectangle) oxide layer, and perfectly
deposited metal gate or polysilicon gate
But, if we go by actual oxidation process, its very
difficult or almost impossible to achieve the above
perfect oxide thickness.
And I can confidently say that, as I have worked on
fabrication for a brief period of time during my
Masters at IIT Bombay.
Below is what you will actually get
So, what's wrong having above oxide thickness.
Again, its the drain current (which is a function of
oxide thickness, shown in below image) that will get
varied for the complete chain of inverter, especially,
the one's on the sides.
The variations in middle inverters will still be uniform.
We discussed the reason for this in last post
Imagine a chain of, as long as, 40 inverters or buffers
..... the variation is HUGE. And this needs to be
accounted for, in STA.
So the challenge is, how to we find the range and
effectively model it in STA. Are we scared ? Of course
Not .... :)
The below image models "low-to-high waveform
condition" at input of CMOS inverter, in terms of
resistances and capacitances. So, overall, its the RC
time constant that actually decides the delay of a cell
With above, we can safely say, the propagation delay
tPD is a function of 'R'
Now, from previous posts, we seen variation in drain
current 'Id' due to variation in 'W/L' and 'oxide
thickness' variations, and above we see, how
propagation delay is function of 'R'.
The question is now, what next?.
If I am, somehow, able to prove, that drain current 'Id'
strongly depends on 'R', then I can directly relate
(W/L) and oxide thickness variation to 'R', and below
images will exactly do that
Hence, every inverter in the below chain, will have
delay which is different than the immediate next one,
something like below
If we plot a Gaussian Curve with delays on x-axis and
no. of inverters on y-axis, it will give us a clue, about
the peak variation in inverter delays, the minimum
and maximum variation in inverter delays like below
Now, we know the percentage variation in delays of
inverter compared to '100ps', because that's where the
inverter delay (with used 'W/L' ratio) is expected to be,
and most number of inverters on chip with that 'W/L'
ratio have a delay of 100ps
OCV variation is +8% and -9% and one of them will be
used for launch and other for capture in setup/hold
timing calculations.
For eg. for setup calculation, the launch clock will have
OCV of +8% and capture clock path will have OCV of -
9%.
That means, if the original clock cell delay is 'x' in
launch clock, with OCV into account, the same clock
cell delay will be ('x' + 0.08x).
This calculation in setup takes into account the On-
Chip Variation, and that's where the name comes
from, as shown below
The images in above ppt makes this concept so simple.
Isn't it? But, keeping things simple is the most difficult
job :) I took around 3 weeks, just to make these
images. Please ... kudos to ME :) :).
For me, solving any concept, is just like a war. Ulysses
S. Grant rightly mentions "The art of war is
simple enough. Find out where your enemy is.
Get at him as soon as you can. Strike him as
hard as you can, and keep moving on"
For more, please refer to below courses
Circuit design & SPICE simulations
https://www.udemy.com/vlsi-academy-circuit-design/?couponCode=forSlideshare
Physical design flow
https://www.udemy.com/vlsi-academy-physical-design-flow/?couponCode=forSlideshare
Clock tree synthesis
https://www.udemy.com/vlsi-academy-clock-tree-synthesis/?couponCode=forSlideshare
Signal integrity
https://www.udemy.com/vlsi-academy-crosstalk/?couponCode=forSlideshare
VLSI – Essential concepts and detailed interview guide
https://www.udemy.com/vlsi-academy/?couponCode=forSlideshare
THANK YOU

Más contenido relacionado

La actualidad más candente

Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design pptAnil Yadav
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1SUNODH GARLAPATI
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehtaUsha Mehta
 
Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)Danny Luk
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignUsha Mehta
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptxShivangPanara
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsiSaransh Choudhary
 
Clk-to-q delay, library setup and hold time
Clk-to-q delay, library setup and hold timeClk-to-q delay, library setup and hold time
Clk-to-q delay, library setup and hold timeVLSI SYSTEM Design
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variationsRajesh M
 

La actualidad más candente (20)

Common clock path pessimism removal
Common clock path pessimism removalCommon clock path pessimism removal
Common clock path pessimism removal
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)
 
Double patterning for 32nm and beyond
Double patterning for 32nm and beyondDouble patterning for 32nm and beyond
Double patterning for 32nm and beyond
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Physical design
Physical design Physical design
Physical design
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI Design
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
 
Clk-to-q delay, library setup and hold time
Clk-to-q delay, library setup and hold timeClk-to-q delay, library setup and hold time
Clk-to-q delay, library setup and hold time
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variations
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 

Destacado

Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Documentation System - Explained
Documentation System - ExplainedDocumentation System - Explained
Documentation System - ExplainedPatrick O'Flynn
 
On Chip Calibration And Compensation Techniques (11 03 08)
On Chip Calibration And Compensation Techniques (11 03 08)On Chip Calibration And Compensation Techniques (11 03 08)
On Chip Calibration And Compensation Techniques (11 03 08)imranbashir
 
Performance comparison of XY,OE and DyAd routing algorithm by Load Variation...
Performance comparison of  XY,OE and DyAd routing algorithm by Load Variation...Performance comparison of  XY,OE and DyAd routing algorithm by Load Variation...
Performance comparison of XY,OE and DyAd routing algorithm by Load Variation...Jayesh Kumar Dalal
 
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...Ishan Thakkar
 

Destacado (10)

Clk-to-q delay, library setup and hold time
Clk-to-q delay, library setup and hold timeClk-to-q delay, library setup and hold time
Clk-to-q delay, library setup and hold time
 
The Big Question
The Big QuestionThe Big Question
The Big Question
 
SPEF format
SPEF formatSPEF format
SPEF format
 
Physical design
Physical design Physical design
Physical design
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
Documentation System - Explained
Documentation System - ExplainedDocumentation System - Explained
Documentation System - Explained
 
Regular buffer v/s Clock buffer
Regular buffer v/s Clock bufferRegular buffer v/s Clock buffer
Regular buffer v/s Clock buffer
 
On Chip Calibration And Compensation Techniques (11 03 08)
On Chip Calibration And Compensation Techniques (11 03 08)On Chip Calibration And Compensation Techniques (11 03 08)
On Chip Calibration And Compensation Techniques (11 03 08)
 
Performance comparison of XY,OE and DyAd routing algorithm by Load Variation...
Performance comparison of  XY,OE and DyAd routing algorithm by Load Variation...Performance comparison of  XY,OE and DyAd routing algorithm by Load Variation...
Performance comparison of XY,OE and DyAd routing algorithm by Load Variation...
 
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...
Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Arch...
 

Similar a On-Chip Variation

DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORDESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORVLSICS Design
 
Vlsi interview questions1
Vlsi  interview questions1Vlsi  interview questions1
Vlsi interview questions1SUKESH Prathap
 
Ecet 345 Massive Success / snaptutorial.com
Ecet 345 Massive Success / snaptutorial.comEcet 345 Massive Success / snaptutorial.com
Ecet 345 Massive Success / snaptutorial.comHarrisGeorgx
 
Ecet 345 Enthusiastic Study / snaptutorial.com
Ecet 345 Enthusiastic Study / snaptutorial.comEcet 345 Enthusiastic Study / snaptutorial.com
Ecet 345 Enthusiastic Study / snaptutorial.comStephenson34
 
Ecet 345 Success Begins / snaptutorial.com
Ecet 345  Success Begins / snaptutorial.comEcet 345  Success Begins / snaptutorial.com
Ecet 345 Success Begins / snaptutorial.comWilliamsTaylorzl
 
Vlsiexpt 11 12
Vlsiexpt 11 12Vlsiexpt 11 12
Vlsiexpt 11 12JINCY Soju
 
2012 pb vi trajectory plots for transmission line models evaluation
2012 pb vi trajectory plots for transmission line models evaluation2012 pb vi trajectory plots for transmission line models evaluation
2012 pb vi trajectory plots for transmission line models evaluationPiero Belforte
 
2012 pb vi trajectory plots for transmission line models evaluation
2012 pb vi trajectory plots for transmission line models evaluation2012 pb vi trajectory plots for transmission line models evaluation
2012 pb vi trajectory plots for transmission line models evaluationPiero Belforte
 
Design & Construction of Switched Mode Power Supplies
Design & Construction of Switched Mode Power Supplies Design & Construction of Switched Mode Power Supplies
Design & Construction of Switched Mode Power Supplies Sachin Mehta
 
ECET 345 Entire Course NEW
ECET 345 Entire Course NEWECET 345 Entire Course NEW
ECET 345 Entire Course NEWshyamuopfive
 
Taking the mystery out of wire rope inspection(2021 5-22)
Taking the mystery out of wire rope inspection(2021 5-22)Taking the mystery out of wire rope inspection(2021 5-22)
Taking the mystery out of wire rope inspection(2021 5-22)Herbert Weischedel
 
2013 pb prediction of rise time errors of a cascade of equal behavioral cells.
2013 pb prediction of  rise time errors of a cascade of equal behavioral cells.2013 pb prediction of  rise time errors of a cascade of equal behavioral cells.
2013 pb prediction of rise time errors of a cascade of equal behavioral cells.Piero Belforte
 
Recovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cellsRecovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cellsIJERA Editor
 
Implementation and design Low power VCO
Implementation and design Low power VCOImplementation and design Low power VCO
Implementation and design Low power VCOijsrd.com
 
PosterFormatRNYF(1)
PosterFormatRNYF(1)PosterFormatRNYF(1)
PosterFormatRNYF(1)Usman Khalid
 
dqTransformation.ppt
dqTransformation.pptdqTransformation.ppt
dqTransformation.pptRajaDesingu2
 

Similar a On-Chip Variation (20)

DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORDESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
 
Vlsi interview questions1
Vlsi  interview questions1Vlsi  interview questions1
Vlsi interview questions1
 
Ecet 345 Massive Success / snaptutorial.com
Ecet 345 Massive Success / snaptutorial.comEcet 345 Massive Success / snaptutorial.com
Ecet 345 Massive Success / snaptutorial.com
 
Ecet 345 Enthusiastic Study / snaptutorial.com
Ecet 345 Enthusiastic Study / snaptutorial.comEcet 345 Enthusiastic Study / snaptutorial.com
Ecet 345 Enthusiastic Study / snaptutorial.com
 
Ecet 345 Success Begins / snaptutorial.com
Ecet 345  Success Begins / snaptutorial.comEcet 345  Success Begins / snaptutorial.com
Ecet 345 Success Begins / snaptutorial.com
 
Vlsiexpt 11 12
Vlsiexpt 11 12Vlsiexpt 11 12
Vlsiexpt 11 12
 
2012 pb vi trajectory plots for transmission line models evaluation
2012 pb vi trajectory plots for transmission line models evaluation2012 pb vi trajectory plots for transmission line models evaluation
2012 pb vi trajectory plots for transmission line models evaluation
 
2012 pb vi trajectory plots for transmission line models evaluation
2012 pb vi trajectory plots for transmission line models evaluation2012 pb vi trajectory plots for transmission line models evaluation
2012 pb vi trajectory plots for transmission line models evaluation
 
Ijeet 07 05_001
Ijeet 07 05_001Ijeet 07 05_001
Ijeet 07 05_001
 
Design & Construction of Switched Mode Power Supplies
Design & Construction of Switched Mode Power Supplies Design & Construction of Switched Mode Power Supplies
Design & Construction of Switched Mode Power Supplies
 
ECET 345 Entire Course NEW
ECET 345 Entire Course NEWECET 345 Entire Course NEW
ECET 345 Entire Course NEW
 
Taking the mystery out of wire rope inspection(2021 5-22)
Taking the mystery out of wire rope inspection(2021 5-22)Taking the mystery out of wire rope inspection(2021 5-22)
Taking the mystery out of wire rope inspection(2021 5-22)
 
2013 pb prediction of rise time errors of a cascade of equal behavioral cells.
2013 pb prediction of  rise time errors of a cascade of equal behavioral cells.2013 pb prediction of  rise time errors of a cascade of equal behavioral cells.
2013 pb prediction of rise time errors of a cascade of equal behavioral cells.
 
2011 04 Ms
2011 04 Ms2011 04 Ms
2011 04 Ms
 
Recovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cellsRecovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cells
 
Implementation and design Low power VCO
Implementation and design Low power VCOImplementation and design Low power VCO
Implementation and design Low power VCO
 
Lec1
Lec1Lec1
Lec1
 
PosterFormatRNYF(1)
PosterFormatRNYF(1)PosterFormatRNYF(1)
PosterFormatRNYF(1)
 
Microelectromechanical Assignment Help
Microelectromechanical Assignment HelpMicroelectromechanical Assignment Help
Microelectromechanical Assignment Help
 
dqTransformation.ppt
dqTransformation.pptdqTransformation.ppt
dqTransformation.ppt
 

Último

Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfLoriGlavin3
 
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESSALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESmohitsingh558521
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionDilum Bandara
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxLoriGlavin3
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersNicole Novielli
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxLoriGlavin3
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024Stephanie Beckett
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxLoriGlavin3
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxLoriGlavin3
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 

Último (20)

Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdf
 
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESSALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An Introduction
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptx
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software Developers
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 

On-Chip Variation

  • 2. Ever thought what's an interviewer's favorite questions to rip you off - all of my previous post :). And On-Chip Variation (OCV) is one of them, specifically for Static Timing Analysis interview. This analysis is coming from people who got interviewed and recruited into leading VLSI industries. Most importantly, my posts and videos have helped most of them and I really feel proud about it. Nice feeling.
  • 3. Coming back to analyze OCV, the first task is to find all possible sources variation, and find out how these can affect a delay of a cell and hence, timing. First things first - Sources of variation 1) Etching Look into the below layout of an inverter (which also shows the Width (W) and Length (L) parameters of an inverter)
  • 4.
  • 5. And, a chain of inverters (this is mostly the case of clock path, be with me for upcoming posts and I will exactly let you know, why OCV is mainly applied on clock paths, 50% should be clear from the term "chain of inverters")
  • 6.
  • 7. We use photo-lithography fabrication technique to build the inverters on Silicon wafer, and this is a non- ideal process, where the edges will not exactly be straight lines, but there will be disturbances. And why so, because the above technique needs photo- masks which are created using etching, which is again non-ideal. Below is how the ideal mask and real mask look like
  • 8.
  • 9. Now these variations on the sides, is also dependent on what logic cell is present on either sides of this inverter, if its surrounded by chain of inverters on either sides, the variation on the sides will be less as the process parameters to build mask for a chain of similar size inverter, is almost the same. But, if the inverters are surrounded by other gates, like flip-flops, then the variation will be more.
  • 10. With that said, the below inverters in the middle will have a similar and less variations ......... (sentence continues after the below image)
  • 11.
  • 12. ......(sentence continued from above) and the inverters on the boundaries will have different and more variations. (observe the difference in actual mask, in below and above image)
  • 13.
  • 14. And guess what.... this directly impacts the drain current below, as it is proportional to (W/L) ratio
  • 15.
  • 16. You feel, we are almost there.... not yet....we need to identify other sources of variation, find out how the current changes, and eventually, find out a range on what should be the value of OCV.... After this, do you feel, we are done .... not yet .... :), we need to use these OCV values, plug it in STA analysis and see its (+ve or -ve) impact....
  • 17. We were identifying sources of variations, and below is the second one 2) Oxide Thickness Let's go back to the inverter layout and look which part are we talking about
  • 18.
  • 19. Here, we are talking about gate oxide thickness variation Below is the section from inverter chain, which we are worried about
  • 20.
  • 21.
  • 22. If we go by ideal fabrication process, below is what you will achieve, a perfectly cubic shape (below is the 2D image, so it looks rectangle) oxide layer, and perfectly deposited metal gate or polysilicon gate
  • 23.
  • 24. But, if we go by actual oxidation process, its very difficult or almost impossible to achieve the above perfect oxide thickness. And I can confidently say that, as I have worked on fabrication for a brief period of time during my Masters at IIT Bombay. Below is what you will actually get
  • 25.
  • 26. So, what's wrong having above oxide thickness. Again, its the drain current (which is a function of oxide thickness, shown in below image) that will get varied for the complete chain of inverter, especially, the one's on the sides. The variations in middle inverters will still be uniform. We discussed the reason for this in last post
  • 27.
  • 28.
  • 29. Imagine a chain of, as long as, 40 inverters or buffers ..... the variation is HUGE. And this needs to be accounted for, in STA. So the challenge is, how to we find the range and effectively model it in STA. Are we scared ? Of course Not .... :)
  • 30. The below image models "low-to-high waveform condition" at input of CMOS inverter, in terms of resistances and capacitances. So, overall, its the RC time constant that actually decides the delay of a cell
  • 31.
  • 32.
  • 33. With above, we can safely say, the propagation delay tPD is a function of 'R'
  • 34.
  • 35. Now, from previous posts, we seen variation in drain current 'Id' due to variation in 'W/L' and 'oxide thickness' variations, and above we see, how propagation delay is function of 'R'. The question is now, what next?. If I am, somehow, able to prove, that drain current 'Id' strongly depends on 'R', then I can directly relate (W/L) and oxide thickness variation to 'R', and below images will exactly do that
  • 36.
  • 37.
  • 38. Hence, every inverter in the below chain, will have delay which is different than the immediate next one, something like below
  • 39.
  • 40. If we plot a Gaussian Curve with delays on x-axis and no. of inverters on y-axis, it will give us a clue, about the peak variation in inverter delays, the minimum and maximum variation in inverter delays like below
  • 41.
  • 42. Now, we know the percentage variation in delays of inverter compared to '100ps', because that's where the inverter delay (with used 'W/L' ratio) is expected to be, and most number of inverters on chip with that 'W/L' ratio have a delay of 100ps
  • 43.
  • 44. OCV variation is +8% and -9% and one of them will be used for launch and other for capture in setup/hold timing calculations. For eg. for setup calculation, the launch clock will have OCV of +8% and capture clock path will have OCV of - 9%. That means, if the original clock cell delay is 'x' in launch clock, with OCV into account, the same clock cell delay will be ('x' + 0.08x). This calculation in setup takes into account the On- Chip Variation, and that's where the name comes from, as shown below
  • 45.
  • 46. The images in above ppt makes this concept so simple. Isn't it? But, keeping things simple is the most difficult job :) I took around 3 weeks, just to make these images. Please ... kudos to ME :) :). For me, solving any concept, is just like a war. Ulysses S. Grant rightly mentions "The art of war is simple enough. Find out where your enemy is. Get at him as soon as you can. Strike him as hard as you can, and keep moving on"
  • 47. For more, please refer to below courses Circuit design & SPICE simulations https://www.udemy.com/vlsi-academy-circuit-design/?couponCode=forSlideshare Physical design flow https://www.udemy.com/vlsi-academy-physical-design-flow/?couponCode=forSlideshare Clock tree synthesis https://www.udemy.com/vlsi-academy-clock-tree-synthesis/?couponCode=forSlideshare Signal integrity https://www.udemy.com/vlsi-academy-crosstalk/?couponCode=forSlideshare VLSI – Essential concepts and detailed interview guide https://www.udemy.com/vlsi-academy/?couponCode=forSlideshare