SlideShare una empresa de Scribd logo
1 de 5
Descargar para leer sin conexión
Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research
                  and Applications (IJERA)       ISSN: 2248-9622      www.ijera.com
                              Vol. 2, Issue 3, May-Jun 2012, pp. 237-241
      Stress Analysis and Temperature Impact of Negative Bias Temperature
                  Instability (NBTI) on a CMOS inverter circuit

                 Rajeev Kumar Mishra1, Madhurendra Bensan2, Roopesh Singh3
                                                     Department of Electronics
                                    Indian Institute of Technology, Banaras Hindu University
                                                          Varanasi, India


 ABSTRACT-
 Negative Bias Temperature Instability(NBTI) has become            MOSFETs is explained by the reaction-diffusion model
 an important reliability concern for ultra-scaled Silicon         described in next section.
 IC technology with significant implications for both              Stress analysis involves, studying the NBTI effect on a PMOS
 analog and digital circuit design. As the Integrated              transistor when it is conducting. It is well known that NBTI
 Circuits (IC) density keeps on increasing with the scaling        impacts PMOS transistors during circuit operation, and the
 of CMOS devices in each successive technology                     degradation occurs when PMOS transistor is in a conducting
 generation, stress analysis or reliability concerns mainly        state. So, accurate NBTI degradation analysis requires analysis
 Negative Bias Temperature Instability (NBTI) becomes a            of logic states. Degradation of specific PMOS transistor
 major challenge. Stress Analysis becomes important for            depends on part of lifetime, in which this transistor is under
 any digital circuit as it predicts the life time of the circuit   stress, in other words, on stress probability. The NBTI occurs
 in terms of the degradation of device parameters. NBTI            when the PMOS transistor is negative biased, in particular at
 degrades the performance of a PMOS transistor under a             elevated temperature. For a CMOS inverter circuit as shown
 negative gate stress. The after effects of NBTI include: (a)      below figure 1 represents the stress and relaxation phase when
 threshold voltage increase of PMOS transistor, (b) drain          gate voltage is zero (VG=0) and VDD (VG= VDD) respectively.
 current degradation, and (c) speed degradation. Elevated
 temperature and the negative gate stress play an
 important role in degradation of Gate Oxide. Before any
 circuit design Stress Analysis becomes important for any
 device in order to get the complete performance of the
 circuit. Negative bias temperature instability (NBTI) has
 become the dominant reliability concern for nanoscale
 PMOS transistors. In this paper basically we have studied
 the Stress Analysis and the impact of temperature of
 NBTI on a CMOS inverter circuit.
                                                                   Figure 1: Pulse showing stress and relaxation phase of a PMOS
Keywords - EZwave, Inverter, NBTI, Reliability, Stress,
Threshold Voltage, Temperature
                                                                   For a PMOS transistor, there are two phases of NBTI,
 I.    INTRODUCTION                                                depending on its bias condition. These two phases are
                                                                   illustrated in Fig. 1, assuming the substrate is biased at VDD. In
The sustained growth in Integrated Circuits (IC) density and       Phase I, when Vg=0 (i.e., Vgs = -VDD), positive interface traps
speed has been accomplished by CMOS scaling. The scaling           are accumulating over the stress time with H diffusing towards
reduces gate oxide thickness in each successive technology         the gate. This phase is usually referred as “stress” or “static
generation. Industrial data reveal that as oxide thickness         NBTI”. In Phase II, when Vg=VDD (i.e., Vgs=0), holes are not
reaches 4nm, reliability concerns (especially NBTI) becomes a      present in the channel and thus, no new interface traps are
major challenge [1, 2]. NBTI occurs under negative gate            generated; instead, H diffuses back and anneals the broken Si-
voltage (e.g., Vgs= -VDD) and is measured as an increase in the    H. As a result, the number of interface traps is reduced during
magnitude of threshold voltage. It mostly affects the PMOS         this stage and the NBTI degradation is recovered. Phase II is
transistor [3] and degrades the device drive current, circuit      usually referred as “recovery” and has a significant impact on
speed, noise margin, and other factors. The threshold voltage      the estimation of NBTI during the dynamic switching. Static
change caused by NBTI for the PMOS transistor has become           NBTI (i.e. under constant voltage (DC) stress condition) leads
the dominant factor to limit the life time, which is much          to a severe threshold voltage (VT) shifts, while the mechanism
shorter than that defined by hot-carrier induced degradation       was described in [4]. However, because of associated recovery
(HCI) of the NMOS transistor. NBTI degradation in                  phenomena the dynamic NBTI (i.e., under AC stress), a less




                                                                                                                       237 | P a g e
Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research
                  and Applications (IJERA)       ISSN: 2248-9622      www.ijera.com
                              Vol. 2, Issue 3, May-Jun 2012, pp. 237-241
severe parameters‟ shifts over long time compared with that          voltage (VT) of the device, thus affecting the performance of
under DC stress condition. The following figure 2 shows that         the IC. NBTI impact gets even worse in scaled technology due
the final threshold voltage (VT) shift is the combined effect of     to the higher operation temperature and the usage of ultra thin
Stress and Recovery Phase.                                           oxide (i.e., higher oxide field). The following conditions holds
                                                                     good for the NBTI effect
                                                                     (1) PMOS needs to be inverted i.e. Formation of channel
                                                                     (Presence of charge particles) but doesn‟t need any current
                                                                     flow.
                                                                     (2) Needs negative electric field across oxide layer (Enhanced
                                                                     at relatively high negative gate voltage Vgs).
                                                                     (3) High temperature.
                                                                     Thinner oxides have brought the poly-silicon gate closer the
                                                                     Si/SiO2 interface. Note that diffusion of hydrogen away from
                                                                     the Si/SiO2 interface controls NBTI-specific interface trap
                                                                     generation at the Si/SiO2 interface. Since hydrogen diffusion
Figure 2: Temporary & Permanent phase of NBTI                        through poly-silicon is faster than that in oxide, scaling of gate
Based on the Traps generation there are two components of            oxides has increased NBTI susceptibilities.
NBTI, they are classified as Permanent (Non-Recoverable)
and temporary (Recoverable). Permanent NBTI is due to the           II. IMPACT ON PARAMETERS
new interface traps generation i.e. the electric field is able to    As discussed above the main parameter which is affected by
break Si-H bonds located at the silicon-oxide interface.             the NBTI is Threshold Voltage (VT). NBTI raises threshold
Temporary NBTI is due to some pre-existing traps present in          voltage above the initial value and hence subsequently
the gate oxide. The pre existing traps get filled with the holes     degrades the other parameters like drain current,
coming from the channel of PMOS. This is called temporary            transconductance etc which depend on threshold voltage. The
because the traps can be emptied when the stress voltage is          relationship between threshold voltage and interface trapped
removed. Even after the removal of the stress the final              charges is given by,
increase in threshold voltage is mainly due to the permanent         VT  VFB  2 F  QB / Cox        Where

                                                                      F  kT / q ln N D / ni , QB  4qK S 0  F N D 1 / 2
NBTI and partly due to temporary NBTI as shown in the fig. 2
as Stress phase and Recovery phase.
                                                                     and,
A gradual shift of threshold voltage (VT) over time is                                 QF Qit ( S )
commonly observed in p type metal-oxide-semiconductor                VFB   MS           
field-effect transistors (p-MOSFET or PMOS). This shift is                             COX   COX
mainly caused by: (1) voltage stress on the gate oxide (2)           Where QF is the fixed charge density, Qit is interface trap
temperature, (3) the duty cycle of the stressing voltage (static     density COX is the gate oxide capacitance and ΦMS is the work
stress as compared to dynamic stress). This effect has become        function between metal and semiconductor. The MOS drain
more severe as: Transistor dimensions have shrunk, the               current ID (sat) and transconductance gm is related with
electric field applied to the gate oxide has increased and the       threshold voltage as,
operating voltage has become lower. NBTI degrades the gate
oxide by the interface states creation and hole trapping in the
                                                                     ID  W   2L eff     C OX VG  VT 
                                                                                                              2


vicinity of the interface. An interface trap is created when a       gm      W          C OX VG  VT .
negative voltage is applied to the gate of a PMOS device for a                  2L    eff

prolonged time. An interface trap is located near the Si-oxide       Thus we see that the Threshold voltage VT of a MOS is
boundary where holes (positive charge) can get stuck, and            dependent on QF and Qit. As the threshold voltage is increased
hence, they shift the threshold voltage. NBTI is a result of         due to the NBTI (increase in interface traps) the drain current
continuous trap generation in Si-SiO2 interface of PMOS              ID and transconductance gm also degrades.
transistors. In bulk MOSFET structure, undesirable Si                A shift in the threshold voltage (VT) ΔVth of the PMOS
dangling bonds exist due to structural mismatch at the Si-SiO2       transistor is proportional to the interface trap generation due to
interface. These dangling bonds act as charged interfacial           NBTI, which can be expressed as [5],
                                                                                       qN it t 
                                                                     Vth  1  m
traps. Charge can flow between the semiconductor and the
interface states. The net charge in these interface states is a                         COX
function of the position of the fermi level in the bandgap.
Hydrogen passivation is applied to the Si surface after the          Where m represents equivalent VT shifts due to mobility
oxidation process to transform dangling Si atoms to Si-H             degradation (or model parameter), q is the electronic charge,
bonds. However, with time, these Si-H bonds can easily break         and Nit (t) is the interface trap generation, which is the most
during operation (i.e., negative bias for PMOS). The broken          important factor in evaluating performance degradation due to
bond acts as interfacial traps and increases the threshold           NBTI.




                                                                                                                        238 | P a g e
Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research
                  and Applications (IJERA)       ISSN: 2248-9622      www.ijera.com
                              Vol. 2, Issue 3, May-Jun 2012, pp. 237-241
III.CONCEPT OF INTERFACE CHARGES AND NBTI                            diffusion towards poly gate or dissociation to produce H
MECHANISM ONLY IN PMOS                                               atoms. The bias temperature stress-induced VT shifts are
NBTI Mechanism is better understood by the Reaction                  generally known to be the consequence of underlying buildup
Diffusion model (RD model) [6], which explains the physics           of interface traps and oxide-trapped charge due to stress-
behind the degradation of the PMOS devices in terms of               initiated electrochemical processes involving oxide and
different sub-processes involving the bond breaking process          interface defects, holes and/or electrons, and variety of species
and generation of interface traps.                                   associated with presence of hydrogen as the most common
According to the RD model, NBTI degradation originates               impurity in MOS devices. An interface trap is an interfacial
from Silicon Hydrogen bonds (Si-H) breaking at Silicon-              trivalent silicon atom with an unsaturated (unpaired) valence
Silicon dioxide (Si-SiO2) interface during negative stress           electron at the SiO2/Si interface. Unsaturated Si atoms are
(Vgs=-VDD), as shown in Figure 3. The broken Silicon bonds           additionally found in SiO2 itself, along with other oxide
(Si-), dangling silicon act as interface traps that are              defects, the most important being the oxygen vacancies. Both
responsible for higher VT and lower drain current.                   oxygen vacancies and unsaturated Si atoms in the oxide are
An interface trap is an interfacial trivalent silicon atom with an   concentrated mostly near the interface and they both act as the
unsaturated (unpaired) valence electron at the SiO2/Si               trapping centers responsible for buildup of oxide-trapped
interface. Unsaturated Si atoms are additionally found in SiO2       charge. Interface traps readily exchange charge, either
itself. Interface traps Nit generation is due to the dissociation    electrons or holes, with the substrate and they introduce either
of Si-H bonds at the Si/SiO2 interface and subsequent                positive or negative net charge at interface, which depends on
movement of released hydrogen species away from the                  gate bias: the net charge in interface traps is negative in n-
interface (diffusion), which leaves behind Si- dangling bonds        channel devices, which are normally biased with positive gate
(interface traps) .Inversion layer holes tunnel into the oxide       voltage, but is positive in p-channel devices as they require
and interact with Si-H bonds. The holes get captured and take        negative gate bias to be turned on. On the other hand, charge
away one electron from the Si-H bonds and make them weak.            found trapped in the centers in the oxide is generally positive
The weakened Si-H bonds then get broken by thermal                   in both n- and p-channel MOS transistors and cannot be
excitation or otherwise. The released hydrogen species either        quickly removed by altering the gate bias polarity. The
diffuse away from the Si/SiO2 interface and leaves behind Si-        absolute values of threshold voltage shifts due to stress-
(Nit generation), or reacts back with Si- and form Si-H (Nit         induced oxide-trapped charge and interface traps in n- and p-
passivation). It is worth noting that the magnitude of Nit is        channel MOS transistors, respectively, can be expressed as
equal to the number of released H atoms at any given instant         [14]:
of time. The time evolution of Nit generation is modeled by the                qN ot qN it
following equations.                                                 VTn           
                                                                                COX    COX
Si  H  Si   H
                                                                               qN ot qN it
Si  H  H   Si   H 2                                            VTp           
                                                                                COX    COX
                                                                     where q denotes elementary charge, Cox is gate oxide
                                                                     capacitance per unit area, while ΔNot and ΔNit are stress-
                                                                     induced changes in the area densities of oxide-trapped charge
                                                                     and interface traps, respectively. The amounts of NBT stress-
                                                                     induced oxide-trapped charge and interface traps in n- and p-
                                                                     channel devices are generally similar , but above consideration
                                                                     clearly shows that the net effect on threshold voltage, ΔVT,
                                                                     must be greater for p-channel devices, because in this case the
                                                                     positive oxide charge and positive interface charge are
                                                                     additive. As for the question on the role of stress bias polarity,
                                                                     it seems well established that holes are necessary to initiate
                                                                     and/or enhance the bias temperature stress degradation, which
                                                                     provides straight answer since only negative gate bias can
                                                                     provide holes at the SiO2/Si interface. Moreover, this is an
                                                                     additional reason[3] why the greatest impact of NBTI occurs
                                                                     in p-channel transistors since only those devices experience a
Figure 3: Representation of RD model [6]                             uniform negative gate bias condition during typical CMOS
                                                                     circuit operation.
The H atoms released from Si-H bond breaking contribute to
three sub-processes including: (a) diffusion towards the gate,       There can be two types of NBTI stress, it can be DC or AC
(b) combination with other H atoms to produce H2, or (c)             stress. Once NBTI stress is removed from the device, a
recovery of the broken bonds. Similarly, H2 participate in the       fraction of Interface traps Nit can self-anneal, resulting in Vth




                                                                                                                        239 | P a g e
Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research
                  and Applications (IJERA)       ISSN: 2248-9622      www.ijera.com
                              Vol. 2, Issue 3, May-Jun 2012, pp. 237-241
degradation being partially recovered. This recovery                models the difference between the fresh and aged devices by
mechanism can be observed when a device is subject to a             calculating the NBTI stress which is dependent on the applied
strain of stressing pulses. These conditions are called “AC”        gate stress and the temperature. The following results are of a
stress. Within the context of reliability, AC stress actually       CMOS inverter circuit (for 45nm design), which has been
designates a large-signal pulse-like stress signal. During the      simulated in the tool ELDO. The below results are shown in
first phase of the clock cycle, Vth increases due to the stress     the waveform viewer EZWave, used to view the output
applied, and then it decreases again in the second half of the      waveforms of ELDO file. Firstly a CMOS inverter circuit is
cycle when the stress is removed as shown in the figure 4           described by a .cir file in the ELDO using the slow model of
below. As shown in the figure, a CMOS inverter is drawn and         45nm technology. Then simulation is carried out with this .cir
when the Vg i.e. input gate voltage is zero (i.e. Vgs= -VDD), the   file. During simulation, first stress file is generated in which
PMOS will be in the Stress phase and when Vg is VDD (i.e.           stress for each device is calculated as per the ELDO‟s UDRM
Vgs= 0) then PMOS will be in relaxation phase as shown.             model and aged simulation uses this stress file to find the
During Stress phase the effect of NBTI comes into picture.          degradation.




Figure 4: PMOS transistor degradation due to NBTI

But the degradation rate is different (smaller) from “DC”
stress conditions when the device is permanently stressed.
This has often led to the conclusion that AC stress was less
problematic than DC stress. The degradation rate under AC
stress conditions actually depends on the duty cycle of the         Figure 6: Inverter plots showing output, threshold and input voltage
applied stress signal [8][10].




Figure 5: NBTI Degradation under DC and AC stress with different
duty cycles [9]

 IV. SIMULATION RESULTS AND DISCUSSION                              Figure 7: Magnified version of figure 7 at a particular time stamp
ELDO is a circuit simulator developed by Mentor Graphics,
which delivers all the capability and accuracy of the SPICE         The above figures 7 and 8 show the output voltage, threshold
level simulation for complex analog circuits and SoC designs.       voltage and input voltage of inverter circuit. The values shown
NBTI reliability simulation in Eldo is based on a model, which      are for the input pulse whose magnitude is 2V, rise time and
                                                                    fall time is 5nsec, pulse width is 30nsec and period is 60nsec.




                                                                                                                           240 | P a g e
Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research
                  and Applications (IJERA)       ISSN: 2248-9622      www.ijera.com
                              Vol. 2, Issue 3, May-Jun 2012, pp. 237-241
The transient analysis is done for 500ns in ELDO and the                                           V(OUT) in V           VTH(XIP1.M1) in
                                                                   Type of Simulation
whole simulation is run for the period of 2years (i.e. output is                                                         V
checked after 2 years). The V (OUT)_1 value in yellow color
is fresh output and V (OUT)_2 with green color is output after     Fresh(V(OUT)_1)                 1.52996               -0.46935888
2 years. At a particular time stamp of 16.19718ns the values       After                       2   1.34012V              -0.56676075
are (shown in the rectangular boxes):                              yrs(V(OUT)_2)
At room temperature i.e. at t=25‟C, the results are as follows
for the input V (IN) 0.86953V
                                                                     V. CONCLUSION
                                                                   Based on the simulation results with an industrial 45nm
                            V(OUT) in      VTH(XIP1.M1) in V
 Type of Simulation                                                technology, it is observed that the degradation of output
                            V
                                                                   voltage due to NBTI in a CMOS inverter can be as high as
 Fresh(V(OUT)_1)            1.64759        -0.53108720             4.5% for a stress period of two years. As far as temperature
                                                                   variation is concerned, the degradation is increased to about
 After 2 yrs(V(OUT)_2)      1.57421        -0.57679362             12% at a temperature of 125‟C. So Lower temperature is also
Apart from these values the instantaneous stress is also plotted   desirable for robust nanoscale design. The transistor reliability
for the PMOS (XIP1.M1) as shown in the Fig 9. We can see           will be a severe problem in future technology nodes which
the degradation in the output after 2 yrs due to stress in the     makes the device life time shorter than predicted.
PMOS devices.
                                                                   ACKNOWLEDGEMENT
                                                                   We are indebted to the Technology R&D Department at ST
                                                                   Microelectronics, Greater Noida and ITBHU Varanasi (U.P)
                                                                   India for providing the platform and various experimental data

                                                                   REFERENCES
                                                                   [1]    N. Kizmuka “The Impact of BTI for Direct Tunneling Ultra Thin Gate
                                                                          Oxide ofMOSFET Scaling", VLSI Technology, Digest of Technical
                                                                          Papers, pp:73-74, 1999.
                                                                   [2]    W. Abadeer, et al “Behaviour of NBTI Under AC Dynamic Circuit
                                                                          Conditions",Pro. of International Physics Reliability Symposium(IPRS),
                                                                          pp:17- 22, 2003.
                                                                   [3]    http://www.iue.tuwien.ac.at/phd/entner/node27.html            Physical
                                                                          Mechanism of NBTI”. Institute for Microelectronics, Wien Austria
                                                                   [4]    Rakesh Vattikonda, Wenping Wang, Yu Cao “Modeling and
                                                                          Minimization of PMOS NBTI Effect for Robust Nanometer Design”,
                                                                          Department of EE, ASU Tempe
Figure 8: CMOS inverter plot showing instantaneous Stress along    [5]    Kunhyuk Kang, Muhammad Ashraful Alam, and Kaushik Roy,
with input and output                                                     “Characterization of NBTI induced Temporal Performance Degradation
To see the temperature effect the temperature of the simulation           in Nano-Scale SRAM array using IDDQ”. Purdue University, West
                                                                          Lafayette, Indiana, USA
is increased to 125‟C. So when the temperature is increased to
                                                                   [6]    R. Wittmann, H. Puchner, L. Hinh, “Impact of NBTI-Driven Parameter
125 „C the output is,                                                     Degradation on Lifetime of a 90nm p-MOSFET”, Institute for
                                                                          Microelectronics TU Wien.
                                                                   [7]    S Mahapatra, P.Bharath Kumar, Student Member, IEEE,and
                                                                          M.A.Alam, “Investigation and Modeling of Interface and Bulk Trap
                                                                          Generation During Negative Bias Temperature Instability of p-
                                                                          MOSFETs”, IEEE Transactions on electron devices, Vol. 51, No. 9,
                                                                          September 2004
                                                                   [8]    Wenping Wang, Vijay Reddy, Anand T. Krishnan, Rakesh Vattikonda,
                                                                          Srikanth Krishnan, and Yu Cao, “An Integrated Modeling Paradigm of
                                                                          Circuit Reliability for 65nm CMOS Technology,” IEEE 2007 Custom
                                                                          Integrated Circuits Conference (CICC).
                                                                   [9]    Renju Raju, Thomas “Reliability Implications of Bias-Temperature
                                                                          Instability in Digital ICs”. Technical University Munich.
                                                                   [10]   Cyril Desclèves, Mark Hagan, Mark Hagan “Joint Design–Reliability
                                                                          Flows and Advanced Models Address IC-Reliability Issues”.
                                                                   [11]   http://www.iue.tuwien.ac.at/phd/wittmann/node10.html             “NBTI
                                                                          Reliability Analysis”.
                                                                   [12]   Seyab, Said Hamdioui (Delft University of Technology) “Temperature
Figure 9: Input, Output and Threshold voltage of a CMOS inverter          Impact on NBTI Modeling in the Framework of Technology Scaling”.
when temperature is 125'C
                                                                   [13]   Chittoor Parthasarathy (ST), Philippe Raynaud (Mentor Graphics)
At temperature 127‟C, the results are as follows for V (IN)               “Reliability simulation in CMOS design using Eldo”.
0.75949V,                                                          [14]   Kenichi Takahata, Micro Electronic and Mechanical Systems (ISBN
                                                                          978-953-307-027-8, December 2009, INTECH, Croatia) , pp. 572




                                                                                                                               241 | P a g e

Más contenido relacionado

La actualidad más candente

Pcb design best practices for more reliable manufacturing
Pcb design best practices for more reliable manufacturingPcb design best practices for more reliable manufacturing
Pcb design best practices for more reliable manufacturingScreaming Circuits
 
Short channel effect on FET
Short channel effect on FETShort channel effect on FET
Short channel effect on FETMahsa Farqarazi
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsisubhradeep mitra
 
07.flash memory technology
07.flash memory technology07.flash memory technology
07.flash memory technologyruchiusha
 
Intel 45nm high-k metal-gate press release
Intel 45nm high-k metal-gate press releaseIntel 45nm high-k metal-gate press release
Intel 45nm high-k metal-gate press releaseangsikod
 
SCT an Advanced Pipeline Integrity Solution
SCT an Advanced Pipeline Integrity SolutionSCT an Advanced Pipeline Integrity Solution
SCT an Advanced Pipeline Integrity SolutionBrett Payton
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layoutE ER Yash nagaria
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
VLSI Design(Fabrication)
VLSI Design(Fabrication)VLSI Design(Fabrication)
VLSI Design(Fabrication)Trijit Mallick
 
Mosfet short channel effects
Mosfet short channel effectsMosfet short channel effects
Mosfet short channel effectskesana Bala Gopi
 
Junction-depth & Sheet-resitance meassurement
Junction-depth & Sheet-resitance meassurementJunction-depth & Sheet-resitance meassurement
Junction-depth & Sheet-resitance meassurementLinh Hoang-Tuan
 
Multiple gate field effect transistors for
Multiple gate field effect transistors forMultiple gate field effect transistors for
Multiple gate field effect transistors foreSAT Journals
 

La actualidad más candente (20)

Pcb design best practices for more reliable manufacturing
Pcb design best practices for more reliable manufacturingPcb design best practices for more reliable manufacturing
Pcb design best practices for more reliable manufacturing
 
Short channel effect on FET
Short channel effect on FETShort channel effect on FET
Short channel effect on FET
 
Finfet Technology
Finfet TechnologyFinfet Technology
Finfet Technology
 
Stick digram by Euler Approach
Stick digram by Euler ApproachStick digram by Euler Approach
Stick digram by Euler Approach
 
5 Important Steps of a Wave Soldering Process
5 Important Steps of a Wave Soldering Process5 Important Steps of a Wave Soldering Process
5 Important Steps of a Wave Soldering Process
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsi
 
OVERVIEW OF IC PACKAGING
OVERVIEW OF IC PACKAGINGOVERVIEW OF IC PACKAGING
OVERVIEW OF IC PACKAGING
 
07.flash memory technology
07.flash memory technology07.flash memory technology
07.flash memory technology
 
Lightly Doped Drain
Lightly Doped DrainLightly Doped Drain
Lightly Doped Drain
 
Intel 45nm high-k metal-gate press release
Intel 45nm high-k metal-gate press releaseIntel 45nm high-k metal-gate press release
Intel 45nm high-k metal-gate press release
 
Esd
EsdEsd
Esd
 
SCT an Advanced Pipeline Integrity Solution
SCT an Advanced Pipeline Integrity SolutionSCT an Advanced Pipeline Integrity Solution
SCT an Advanced Pipeline Integrity Solution
 
Matching concept in Microelectronics
Matching concept in MicroelectronicsMatching concept in Microelectronics
Matching concept in Microelectronics
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layout
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
VLSI Design(Fabrication)
VLSI Design(Fabrication)VLSI Design(Fabrication)
VLSI Design(Fabrication)
 
Mosfet short channel effects
Mosfet short channel effectsMosfet short channel effects
Mosfet short channel effects
 
Junction-depth & Sheet-resitance meassurement
Junction-depth & Sheet-resitance meassurementJunction-depth & Sheet-resitance meassurement
Junction-depth & Sheet-resitance meassurement
 
High k dielectric
High k dielectricHigh k dielectric
High k dielectric
 
Multiple gate field effect transistors for
Multiple gate field effect transistors forMultiple gate field effect transistors for
Multiple gate field effect transistors for
 

Destacado

Navios Maritime Acquisition Corporation (nma)
Navios Maritime Acquisition Corporation (nma)Navios Maritime Acquisition Corporation (nma)
Navios Maritime Acquisition Corporation (nma)SagehenCapitalManagement
 
NT_N Guard Ring Noise Analysis
NT_N Guard Ring Noise AnalysisNT_N Guard Ring Noise Analysis
NT_N Guard Ring Noise AnalysisAdrianOShaughnessy
 
IC Technology
IC Technology IC Technology
IC Technology sdpable
 
Esd control-in-electronic-assembly
Esd control-in-electronic-assemblyEsd control-in-electronic-assembly
Esd control-in-electronic-assemblyTransformingTech
 
Linear IC Technology
Linear IC TechnologyLinear IC Technology
Linear IC TechnologyINDIAN NAVY
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integrationkriticka sharma
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationkriticka sharma
 
Ic technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthIc technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthkriticka sharma
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design slpinjare
 

Destacado (12)

Navios Maritime Acquisition Corporation (nma)
Navios Maritime Acquisition Corporation (nma)Navios Maritime Acquisition Corporation (nma)
Navios Maritime Acquisition Corporation (nma)
 
NT_N Guard Ring Noise Analysis
NT_N Guard Ring Noise AnalysisNT_N Guard Ring Noise Analysis
NT_N Guard Ring Noise Analysis
 
vlsi
vlsivlsi
vlsi
 
IC Technology
IC Technology IC Technology
IC Technology
 
Esd control-in-electronic-assembly
Esd control-in-electronic-assemblyEsd control-in-electronic-assembly
Esd control-in-electronic-assembly
 
Linear IC Technology
Linear IC TechnologyLinear IC Technology
Linear IC Technology
 
Esd basics
Esd basicsEsd basics
Esd basics
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
 
ESD PRESENTATION
ESD PRESENTATIONESD PRESENTATION
ESD PRESENTATION
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantation
 
Ic technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthIc technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growth
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 

Similar a Stress Analysis and Temperature Impact of Negative Bias Temperature Instability (NBTI) on a CMOS inverter circuit

Analysis and Impacts of Negative Bias Temperature Instability
Analysis and Impacts of Negative Bias Temperature InstabilityAnalysis and Impacts of Negative Bias Temperature Instability
Analysis and Impacts of Negative Bias Temperature InstabilityRajeev Mishra
 
Introduction gadgets have gained a lot of attention.pdf
Introduction gadgets have gained a lot of attention.pdfIntroduction gadgets have gained a lot of attention.pdf
Introduction gadgets have gained a lot of attention.pdfbkbk37
 
Structural and Electrical Analysis of Various MOSFET Designs
Structural and Electrical Analysis of Various MOSFET DesignsStructural and Electrical Analysis of Various MOSFET Designs
Structural and Electrical Analysis of Various MOSFET DesignsIJERA Editor
 
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETA. S. M. Jannatul Islam
 
EC8252 NOTES 3rd to 5th unit_compressed.pdf
EC8252 NOTES 3rd to 5th unit_compressed.pdfEC8252 NOTES 3rd to 5th unit_compressed.pdf
EC8252 NOTES 3rd to 5th unit_compressed.pdfkabileshsk2
 
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYcscpconf
 
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power IJECEIAES
 
ECE 6030 Device Electronics.docx
ECE 6030 Device Electronics.docxECE 6030 Device Electronics.docx
ECE 6030 Device Electronics.docxwrite31
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...IJSRD
 
Power consumption
Power consumptionPower consumption
Power consumptionsdpable
 
Ee660 ex 25_second_order_effects_schwappach
Ee660 ex 25_second_order_effects_schwappachEe660 ex 25_second_order_effects_schwappach
Ee660 ex 25_second_order_effects_schwappachLoren Schwappach
 

Similar a Stress Analysis and Temperature Impact of Negative Bias Temperature Instability (NBTI) on a CMOS inverter circuit (20)

Analysis and Impacts of Negative Bias Temperature Instability
Analysis and Impacts of Negative Bias Temperature InstabilityAnalysis and Impacts of Negative Bias Temperature Instability
Analysis and Impacts of Negative Bias Temperature Instability
 
Bg26386390
Bg26386390Bg26386390
Bg26386390
 
bicmos.pdf
bicmos.pdfbicmos.pdf
bicmos.pdf
 
Final od college
Final od collegeFinal od college
Final od college
 
Introduction gadgets have gained a lot of attention.pdf
Introduction gadgets have gained a lot of attention.pdfIntroduction gadgets have gained a lot of attention.pdf
Introduction gadgets have gained a lot of attention.pdf
 
Structural and Electrical Analysis of Various MOSFET Designs
Structural and Electrical Analysis of Various MOSFET DesignsStructural and Electrical Analysis of Various MOSFET Designs
Structural and Electrical Analysis of Various MOSFET Designs
 
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
 
EC8252 NOTES 3rd to 5th unit_compressed.pdf
EC8252 NOTES 3rd to 5th unit_compressed.pdfEC8252 NOTES 3rd to 5th unit_compressed.pdf
EC8252 NOTES 3rd to 5th unit_compressed.pdf
 
Mc3620712075
Mc3620712075Mc3620712075
Mc3620712075
 
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
 
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
 
22 27
22 2722 27
22 27
 
22 27
22 2722 27
22 27
 
Mosfet 2
Mosfet 2Mosfet 2
Mosfet 2
 
Mosfet 3
Mosfet 3Mosfet 3
Mosfet 3
 
ECE 6030 Device Electronics.docx
ECE 6030 Device Electronics.docxECE 6030 Device Electronics.docx
ECE 6030 Device Electronics.docx
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
 
Project mac
Project macProject mac
Project mac
 
Power consumption
Power consumptionPower consumption
Power consumption
 
Ee660 ex 25_second_order_effects_schwappach
Ee660 ex 25_second_order_effects_schwappachEe660 ex 25_second_order_effects_schwappach
Ee660 ex 25_second_order_effects_schwappach
 

Último

DiskStorage_BasicFileStructuresandHashing.pdf
DiskStorage_BasicFileStructuresandHashing.pdfDiskStorage_BasicFileStructuresandHashing.pdf
DiskStorage_BasicFileStructuresandHashing.pdfChristalin Nelson
 
Shark introduction Morphology and its behaviour characteristics
Shark introduction Morphology and its behaviour characteristicsShark introduction Morphology and its behaviour characteristics
Shark introduction Morphology and its behaviour characteristicsArubSultan
 
DBMSArchitecture_QueryProcessingandOptimization.pdf
DBMSArchitecture_QueryProcessingandOptimization.pdfDBMSArchitecture_QueryProcessingandOptimization.pdf
DBMSArchitecture_QueryProcessingandOptimization.pdfChristalin Nelson
 
PART 1 - CHAPTER 1 - CELL THE FUNDAMENTAL UNIT OF LIFE
PART 1 - CHAPTER 1 - CELL THE FUNDAMENTAL UNIT OF LIFEPART 1 - CHAPTER 1 - CELL THE FUNDAMENTAL UNIT OF LIFE
PART 1 - CHAPTER 1 - CELL THE FUNDAMENTAL UNIT OF LIFEMISSRITIMABIOLOGYEXP
 
CHUYÊN ĐỀ ÔN THEO CÂU CHO HỌC SINH LỚP 12 ĐỂ ĐẠT ĐIỂM 5+ THI TỐT NGHIỆP THPT ...
CHUYÊN ĐỀ ÔN THEO CÂU CHO HỌC SINH LỚP 12 ĐỂ ĐẠT ĐIỂM 5+ THI TỐT NGHIỆP THPT ...CHUYÊN ĐỀ ÔN THEO CÂU CHO HỌC SINH LỚP 12 ĐỂ ĐẠT ĐIỂM 5+ THI TỐT NGHIỆP THPT ...
CHUYÊN ĐỀ ÔN THEO CÂU CHO HỌC SINH LỚP 12 ĐỂ ĐẠT ĐIỂM 5+ THI TỐT NGHIỆP THPT ...Nguyen Thanh Tu Collection
 
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQ-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQuiz Club NITW
 
Mythology Quiz-4th April 2024, Quiz Club NITW
Mythology Quiz-4th April 2024, Quiz Club NITWMythology Quiz-4th April 2024, Quiz Club NITW
Mythology Quiz-4th April 2024, Quiz Club NITWQuiz Club NITW
 
Sulphonamides, mechanisms and their uses
Sulphonamides, mechanisms and their usesSulphonamides, mechanisms and their uses
Sulphonamides, mechanisms and their usesVijayaLaxmi84
 
ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6Vanessa Camilleri
 
4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptxmary850239
 
6 ways Samsung’s Interactive Display powered by Android changes the classroom
6 ways Samsung’s Interactive Display powered by Android changes the classroom6 ways Samsung’s Interactive Display powered by Android changes the classroom
6 ways Samsung’s Interactive Display powered by Android changes the classroomSamsung Business USA
 
Scientific Writing :Research Discourse
Scientific  Writing :Research  DiscourseScientific  Writing :Research  Discourse
Scientific Writing :Research DiscourseAnita GoswamiGiri
 
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptxDecoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptxDhatriParmar
 
Employablity presentation and Future Career Plan.pptx
Employablity presentation and Future Career Plan.pptxEmployablity presentation and Future Career Plan.pptx
Employablity presentation and Future Career Plan.pptxryandux83rd
 

Último (20)

DiskStorage_BasicFileStructuresandHashing.pdf
DiskStorage_BasicFileStructuresandHashing.pdfDiskStorage_BasicFileStructuresandHashing.pdf
DiskStorage_BasicFileStructuresandHashing.pdf
 
Faculty Profile prashantha K EEE dept Sri Sairam college of Engineering
Faculty Profile prashantha K EEE dept Sri Sairam college of EngineeringFaculty Profile prashantha K EEE dept Sri Sairam college of Engineering
Faculty Profile prashantha K EEE dept Sri Sairam college of Engineering
 
Shark introduction Morphology and its behaviour characteristics
Shark introduction Morphology and its behaviour characteristicsShark introduction Morphology and its behaviour characteristics
Shark introduction Morphology and its behaviour characteristics
 
DBMSArchitecture_QueryProcessingandOptimization.pdf
DBMSArchitecture_QueryProcessingandOptimization.pdfDBMSArchitecture_QueryProcessingandOptimization.pdf
DBMSArchitecture_QueryProcessingandOptimization.pdf
 
PART 1 - CHAPTER 1 - CELL THE FUNDAMENTAL UNIT OF LIFE
PART 1 - CHAPTER 1 - CELL THE FUNDAMENTAL UNIT OF LIFEPART 1 - CHAPTER 1 - CELL THE FUNDAMENTAL UNIT OF LIFE
PART 1 - CHAPTER 1 - CELL THE FUNDAMENTAL UNIT OF LIFE
 
prashanth updated resume 2024 for Teaching Profession
prashanth updated resume 2024 for Teaching Professionprashanth updated resume 2024 for Teaching Profession
prashanth updated resume 2024 for Teaching Profession
 
CHUYÊN ĐỀ ÔN THEO CÂU CHO HỌC SINH LỚP 12 ĐỂ ĐẠT ĐIỂM 5+ THI TỐT NGHIỆP THPT ...
CHUYÊN ĐỀ ÔN THEO CÂU CHO HỌC SINH LỚP 12 ĐỂ ĐẠT ĐIỂM 5+ THI TỐT NGHIỆP THPT ...CHUYÊN ĐỀ ÔN THEO CÂU CHO HỌC SINH LỚP 12 ĐỂ ĐẠT ĐIỂM 5+ THI TỐT NGHIỆP THPT ...
CHUYÊN ĐỀ ÔN THEO CÂU CHO HỌC SINH LỚP 12 ĐỂ ĐẠT ĐIỂM 5+ THI TỐT NGHIỆP THPT ...
 
CARNAVAL COM MAGIA E EUFORIA _
CARNAVAL COM MAGIA E EUFORIA            _CARNAVAL COM MAGIA E EUFORIA            _
CARNAVAL COM MAGIA E EUFORIA _
 
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQ-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
 
Mythology Quiz-4th April 2024, Quiz Club NITW
Mythology Quiz-4th April 2024, Quiz Club NITWMythology Quiz-4th April 2024, Quiz Club NITW
Mythology Quiz-4th April 2024, Quiz Club NITW
 
Sulphonamides, mechanisms and their uses
Sulphonamides, mechanisms and their usesSulphonamides, mechanisms and their uses
Sulphonamides, mechanisms and their uses
 
ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6
 
4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx
 
Chi-Square Test Non Parametric Test Categorical Variable
Chi-Square Test Non Parametric Test Categorical VariableChi-Square Test Non Parametric Test Categorical Variable
Chi-Square Test Non Parametric Test Categorical Variable
 
Mattingly "AI & Prompt Design" - Introduction to Machine Learning"
Mattingly "AI & Prompt Design" - Introduction to Machine Learning"Mattingly "AI & Prompt Design" - Introduction to Machine Learning"
Mattingly "AI & Prompt Design" - Introduction to Machine Learning"
 
6 ways Samsung’s Interactive Display powered by Android changes the classroom
6 ways Samsung’s Interactive Display powered by Android changes the classroom6 ways Samsung’s Interactive Display powered by Android changes the classroom
6 ways Samsung’s Interactive Display powered by Android changes the classroom
 
Scientific Writing :Research Discourse
Scientific  Writing :Research  DiscourseScientific  Writing :Research  Discourse
Scientific Writing :Research Discourse
 
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptxDecoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
Decoding the Tweet _ Practical Criticism in the Age of Hashtag.pptx
 
Paradigm shift in nursing research by RS MEHTA
Paradigm shift in nursing research by RS MEHTAParadigm shift in nursing research by RS MEHTA
Paradigm shift in nursing research by RS MEHTA
 
Employablity presentation and Future Career Plan.pptx
Employablity presentation and Future Career Plan.pptxEmployablity presentation and Future Career Plan.pptx
Employablity presentation and Future Career Plan.pptx
 

Stress Analysis and Temperature Impact of Negative Bias Temperature Instability (NBTI) on a CMOS inverter circuit

  • 1. Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 3, May-Jun 2012, pp. 237-241 Stress Analysis and Temperature Impact of Negative Bias Temperature Instability (NBTI) on a CMOS inverter circuit Rajeev Kumar Mishra1, Madhurendra Bensan2, Roopesh Singh3 Department of Electronics Indian Institute of Technology, Banaras Hindu University Varanasi, India ABSTRACT- Negative Bias Temperature Instability(NBTI) has become MOSFETs is explained by the reaction-diffusion model an important reliability concern for ultra-scaled Silicon described in next section. IC technology with significant implications for both Stress analysis involves, studying the NBTI effect on a PMOS analog and digital circuit design. As the Integrated transistor when it is conducting. It is well known that NBTI Circuits (IC) density keeps on increasing with the scaling impacts PMOS transistors during circuit operation, and the of CMOS devices in each successive technology degradation occurs when PMOS transistor is in a conducting generation, stress analysis or reliability concerns mainly state. So, accurate NBTI degradation analysis requires analysis Negative Bias Temperature Instability (NBTI) becomes a of logic states. Degradation of specific PMOS transistor major challenge. Stress Analysis becomes important for depends on part of lifetime, in which this transistor is under any digital circuit as it predicts the life time of the circuit stress, in other words, on stress probability. The NBTI occurs in terms of the degradation of device parameters. NBTI when the PMOS transistor is negative biased, in particular at degrades the performance of a PMOS transistor under a elevated temperature. For a CMOS inverter circuit as shown negative gate stress. The after effects of NBTI include: (a) below figure 1 represents the stress and relaxation phase when threshold voltage increase of PMOS transistor, (b) drain gate voltage is zero (VG=0) and VDD (VG= VDD) respectively. current degradation, and (c) speed degradation. Elevated temperature and the negative gate stress play an important role in degradation of Gate Oxide. Before any circuit design Stress Analysis becomes important for any device in order to get the complete performance of the circuit. Negative bias temperature instability (NBTI) has become the dominant reliability concern for nanoscale PMOS transistors. In this paper basically we have studied the Stress Analysis and the impact of temperature of NBTI on a CMOS inverter circuit. Figure 1: Pulse showing stress and relaxation phase of a PMOS Keywords - EZwave, Inverter, NBTI, Reliability, Stress, Threshold Voltage, Temperature For a PMOS transistor, there are two phases of NBTI, I. INTRODUCTION depending on its bias condition. These two phases are illustrated in Fig. 1, assuming the substrate is biased at VDD. In The sustained growth in Integrated Circuits (IC) density and Phase I, when Vg=0 (i.e., Vgs = -VDD), positive interface traps speed has been accomplished by CMOS scaling. The scaling are accumulating over the stress time with H diffusing towards reduces gate oxide thickness in each successive technology the gate. This phase is usually referred as “stress” or “static generation. Industrial data reveal that as oxide thickness NBTI”. In Phase II, when Vg=VDD (i.e., Vgs=0), holes are not reaches 4nm, reliability concerns (especially NBTI) becomes a present in the channel and thus, no new interface traps are major challenge [1, 2]. NBTI occurs under negative gate generated; instead, H diffuses back and anneals the broken Si- voltage (e.g., Vgs= -VDD) and is measured as an increase in the H. As a result, the number of interface traps is reduced during magnitude of threshold voltage. It mostly affects the PMOS this stage and the NBTI degradation is recovered. Phase II is transistor [3] and degrades the device drive current, circuit usually referred as “recovery” and has a significant impact on speed, noise margin, and other factors. The threshold voltage the estimation of NBTI during the dynamic switching. Static change caused by NBTI for the PMOS transistor has become NBTI (i.e. under constant voltage (DC) stress condition) leads the dominant factor to limit the life time, which is much to a severe threshold voltage (VT) shifts, while the mechanism shorter than that defined by hot-carrier induced degradation was described in [4]. However, because of associated recovery (HCI) of the NMOS transistor. NBTI degradation in phenomena the dynamic NBTI (i.e., under AC stress), a less 237 | P a g e
  • 2. Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 3, May-Jun 2012, pp. 237-241 severe parameters‟ shifts over long time compared with that voltage (VT) of the device, thus affecting the performance of under DC stress condition. The following figure 2 shows that the IC. NBTI impact gets even worse in scaled technology due the final threshold voltage (VT) shift is the combined effect of to the higher operation temperature and the usage of ultra thin Stress and Recovery Phase. oxide (i.e., higher oxide field). The following conditions holds good for the NBTI effect (1) PMOS needs to be inverted i.e. Formation of channel (Presence of charge particles) but doesn‟t need any current flow. (2) Needs negative electric field across oxide layer (Enhanced at relatively high negative gate voltage Vgs). (3) High temperature. Thinner oxides have brought the poly-silicon gate closer the Si/SiO2 interface. Note that diffusion of hydrogen away from the Si/SiO2 interface controls NBTI-specific interface trap generation at the Si/SiO2 interface. Since hydrogen diffusion Figure 2: Temporary & Permanent phase of NBTI through poly-silicon is faster than that in oxide, scaling of gate Based on the Traps generation there are two components of oxides has increased NBTI susceptibilities. NBTI, they are classified as Permanent (Non-Recoverable) and temporary (Recoverable). Permanent NBTI is due to the II. IMPACT ON PARAMETERS new interface traps generation i.e. the electric field is able to As discussed above the main parameter which is affected by break Si-H bonds located at the silicon-oxide interface. the NBTI is Threshold Voltage (VT). NBTI raises threshold Temporary NBTI is due to some pre-existing traps present in voltage above the initial value and hence subsequently the gate oxide. The pre existing traps get filled with the holes degrades the other parameters like drain current, coming from the channel of PMOS. This is called temporary transconductance etc which depend on threshold voltage. The because the traps can be emptied when the stress voltage is relationship between threshold voltage and interface trapped removed. Even after the removal of the stress the final charges is given by, increase in threshold voltage is mainly due to the permanent VT  VFB  2 F  QB / Cox Where  F  kT / q ln N D / ni , QB  4qK S 0  F N D 1 / 2 NBTI and partly due to temporary NBTI as shown in the fig. 2 as Stress phase and Recovery phase. and, A gradual shift of threshold voltage (VT) over time is QF Qit ( S ) commonly observed in p type metal-oxide-semiconductor VFB   MS   field-effect transistors (p-MOSFET or PMOS). This shift is COX COX mainly caused by: (1) voltage stress on the gate oxide (2) Where QF is the fixed charge density, Qit is interface trap temperature, (3) the duty cycle of the stressing voltage (static density COX is the gate oxide capacitance and ΦMS is the work stress as compared to dynamic stress). This effect has become function between metal and semiconductor. The MOS drain more severe as: Transistor dimensions have shrunk, the current ID (sat) and transconductance gm is related with electric field applied to the gate oxide has increased and the threshold voltage as, operating voltage has become lower. NBTI degrades the gate oxide by the interface states creation and hole trapping in the ID  W  2L eff C OX VG  VT  2 vicinity of the interface. An interface trap is created when a gm  W  C OX VG  VT . negative voltage is applied to the gate of a PMOS device for a 2L eff prolonged time. An interface trap is located near the Si-oxide Thus we see that the Threshold voltage VT of a MOS is boundary where holes (positive charge) can get stuck, and dependent on QF and Qit. As the threshold voltage is increased hence, they shift the threshold voltage. NBTI is a result of due to the NBTI (increase in interface traps) the drain current continuous trap generation in Si-SiO2 interface of PMOS ID and transconductance gm also degrades. transistors. In bulk MOSFET structure, undesirable Si A shift in the threshold voltage (VT) ΔVth of the PMOS dangling bonds exist due to structural mismatch at the Si-SiO2 transistor is proportional to the interface trap generation due to interface. These dangling bonds act as charged interfacial NBTI, which can be expressed as [5], qN it t  Vth  1  m traps. Charge can flow between the semiconductor and the interface states. The net charge in these interface states is a COX function of the position of the fermi level in the bandgap. Hydrogen passivation is applied to the Si surface after the Where m represents equivalent VT shifts due to mobility oxidation process to transform dangling Si atoms to Si-H degradation (or model parameter), q is the electronic charge, bonds. However, with time, these Si-H bonds can easily break and Nit (t) is the interface trap generation, which is the most during operation (i.e., negative bias for PMOS). The broken important factor in evaluating performance degradation due to bond acts as interfacial traps and increases the threshold NBTI. 238 | P a g e
  • 3. Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 3, May-Jun 2012, pp. 237-241 III.CONCEPT OF INTERFACE CHARGES AND NBTI diffusion towards poly gate or dissociation to produce H MECHANISM ONLY IN PMOS atoms. The bias temperature stress-induced VT shifts are NBTI Mechanism is better understood by the Reaction generally known to be the consequence of underlying buildup Diffusion model (RD model) [6], which explains the physics of interface traps and oxide-trapped charge due to stress- behind the degradation of the PMOS devices in terms of initiated electrochemical processes involving oxide and different sub-processes involving the bond breaking process interface defects, holes and/or electrons, and variety of species and generation of interface traps. associated with presence of hydrogen as the most common According to the RD model, NBTI degradation originates impurity in MOS devices. An interface trap is an interfacial from Silicon Hydrogen bonds (Si-H) breaking at Silicon- trivalent silicon atom with an unsaturated (unpaired) valence Silicon dioxide (Si-SiO2) interface during negative stress electron at the SiO2/Si interface. Unsaturated Si atoms are (Vgs=-VDD), as shown in Figure 3. The broken Silicon bonds additionally found in SiO2 itself, along with other oxide (Si-), dangling silicon act as interface traps that are defects, the most important being the oxygen vacancies. Both responsible for higher VT and lower drain current. oxygen vacancies and unsaturated Si atoms in the oxide are An interface trap is an interfacial trivalent silicon atom with an concentrated mostly near the interface and they both act as the unsaturated (unpaired) valence electron at the SiO2/Si trapping centers responsible for buildup of oxide-trapped interface. Unsaturated Si atoms are additionally found in SiO2 charge. Interface traps readily exchange charge, either itself. Interface traps Nit generation is due to the dissociation electrons or holes, with the substrate and they introduce either of Si-H bonds at the Si/SiO2 interface and subsequent positive or negative net charge at interface, which depends on movement of released hydrogen species away from the gate bias: the net charge in interface traps is negative in n- interface (diffusion), which leaves behind Si- dangling bonds channel devices, which are normally biased with positive gate (interface traps) .Inversion layer holes tunnel into the oxide voltage, but is positive in p-channel devices as they require and interact with Si-H bonds. The holes get captured and take negative gate bias to be turned on. On the other hand, charge away one electron from the Si-H bonds and make them weak. found trapped in the centers in the oxide is generally positive The weakened Si-H bonds then get broken by thermal in both n- and p-channel MOS transistors and cannot be excitation or otherwise. The released hydrogen species either quickly removed by altering the gate bias polarity. The diffuse away from the Si/SiO2 interface and leaves behind Si- absolute values of threshold voltage shifts due to stress- (Nit generation), or reacts back with Si- and form Si-H (Nit induced oxide-trapped charge and interface traps in n- and p- passivation). It is worth noting that the magnitude of Nit is channel MOS transistors, respectively, can be expressed as equal to the number of released H atoms at any given instant [14]: of time. The time evolution of Nit generation is modeled by the qN ot qN it following equations. VTn   COX COX Si  H  Si   H qN ot qN it Si  H  H   Si   H 2 VTp   COX COX where q denotes elementary charge, Cox is gate oxide capacitance per unit area, while ΔNot and ΔNit are stress- induced changes in the area densities of oxide-trapped charge and interface traps, respectively. The amounts of NBT stress- induced oxide-trapped charge and interface traps in n- and p- channel devices are generally similar , but above consideration clearly shows that the net effect on threshold voltage, ΔVT, must be greater for p-channel devices, because in this case the positive oxide charge and positive interface charge are additive. As for the question on the role of stress bias polarity, it seems well established that holes are necessary to initiate and/or enhance the bias temperature stress degradation, which provides straight answer since only negative gate bias can provide holes at the SiO2/Si interface. Moreover, this is an additional reason[3] why the greatest impact of NBTI occurs in p-channel transistors since only those devices experience a Figure 3: Representation of RD model [6] uniform negative gate bias condition during typical CMOS circuit operation. The H atoms released from Si-H bond breaking contribute to three sub-processes including: (a) diffusion towards the gate, There can be two types of NBTI stress, it can be DC or AC (b) combination with other H atoms to produce H2, or (c) stress. Once NBTI stress is removed from the device, a recovery of the broken bonds. Similarly, H2 participate in the fraction of Interface traps Nit can self-anneal, resulting in Vth 239 | P a g e
  • 4. Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 3, May-Jun 2012, pp. 237-241 degradation being partially recovered. This recovery models the difference between the fresh and aged devices by mechanism can be observed when a device is subject to a calculating the NBTI stress which is dependent on the applied strain of stressing pulses. These conditions are called “AC” gate stress and the temperature. The following results are of a stress. Within the context of reliability, AC stress actually CMOS inverter circuit (for 45nm design), which has been designates a large-signal pulse-like stress signal. During the simulated in the tool ELDO. The below results are shown in first phase of the clock cycle, Vth increases due to the stress the waveform viewer EZWave, used to view the output applied, and then it decreases again in the second half of the waveforms of ELDO file. Firstly a CMOS inverter circuit is cycle when the stress is removed as shown in the figure 4 described by a .cir file in the ELDO using the slow model of below. As shown in the figure, a CMOS inverter is drawn and 45nm technology. Then simulation is carried out with this .cir when the Vg i.e. input gate voltage is zero (i.e. Vgs= -VDD), the file. During simulation, first stress file is generated in which PMOS will be in the Stress phase and when Vg is VDD (i.e. stress for each device is calculated as per the ELDO‟s UDRM Vgs= 0) then PMOS will be in relaxation phase as shown. model and aged simulation uses this stress file to find the During Stress phase the effect of NBTI comes into picture. degradation. Figure 4: PMOS transistor degradation due to NBTI But the degradation rate is different (smaller) from “DC” stress conditions when the device is permanently stressed. This has often led to the conclusion that AC stress was less problematic than DC stress. The degradation rate under AC stress conditions actually depends on the duty cycle of the Figure 6: Inverter plots showing output, threshold and input voltage applied stress signal [8][10]. Figure 5: NBTI Degradation under DC and AC stress with different duty cycles [9] IV. SIMULATION RESULTS AND DISCUSSION Figure 7: Magnified version of figure 7 at a particular time stamp ELDO is a circuit simulator developed by Mentor Graphics, which delivers all the capability and accuracy of the SPICE The above figures 7 and 8 show the output voltage, threshold level simulation for complex analog circuits and SoC designs. voltage and input voltage of inverter circuit. The values shown NBTI reliability simulation in Eldo is based on a model, which are for the input pulse whose magnitude is 2V, rise time and fall time is 5nsec, pulse width is 30nsec and period is 60nsec. 240 | P a g e
  • 5. Rajeev Kumar Mishra, Madhurendra Bensan, Roopesh Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 3, May-Jun 2012, pp. 237-241 The transient analysis is done for 500ns in ELDO and the V(OUT) in V VTH(XIP1.M1) in Type of Simulation whole simulation is run for the period of 2years (i.e. output is V checked after 2 years). The V (OUT)_1 value in yellow color is fresh output and V (OUT)_2 with green color is output after Fresh(V(OUT)_1) 1.52996 -0.46935888 2 years. At a particular time stamp of 16.19718ns the values After 2 1.34012V -0.56676075 are (shown in the rectangular boxes): yrs(V(OUT)_2) At room temperature i.e. at t=25‟C, the results are as follows for the input V (IN) 0.86953V V. CONCLUSION Based on the simulation results with an industrial 45nm V(OUT) in VTH(XIP1.M1) in V Type of Simulation technology, it is observed that the degradation of output V voltage due to NBTI in a CMOS inverter can be as high as Fresh(V(OUT)_1) 1.64759 -0.53108720 4.5% for a stress period of two years. As far as temperature variation is concerned, the degradation is increased to about After 2 yrs(V(OUT)_2) 1.57421 -0.57679362 12% at a temperature of 125‟C. So Lower temperature is also Apart from these values the instantaneous stress is also plotted desirable for robust nanoscale design. The transistor reliability for the PMOS (XIP1.M1) as shown in the Fig 9. We can see will be a severe problem in future technology nodes which the degradation in the output after 2 yrs due to stress in the makes the device life time shorter than predicted. PMOS devices. ACKNOWLEDGEMENT We are indebted to the Technology R&D Department at ST Microelectronics, Greater Noida and ITBHU Varanasi (U.P) India for providing the platform and various experimental data REFERENCES [1] N. Kizmuka “The Impact of BTI for Direct Tunneling Ultra Thin Gate Oxide ofMOSFET Scaling", VLSI Technology, Digest of Technical Papers, pp:73-74, 1999. [2] W. Abadeer, et al “Behaviour of NBTI Under AC Dynamic Circuit Conditions",Pro. of International Physics Reliability Symposium(IPRS), pp:17- 22, 2003. [3] http://www.iue.tuwien.ac.at/phd/entner/node27.html Physical Mechanism of NBTI”. Institute for Microelectronics, Wien Austria [4] Rakesh Vattikonda, Wenping Wang, Yu Cao “Modeling and Minimization of PMOS NBTI Effect for Robust Nanometer Design”, Department of EE, ASU Tempe Figure 8: CMOS inverter plot showing instantaneous Stress along [5] Kunhyuk Kang, Muhammad Ashraful Alam, and Kaushik Roy, with input and output “Characterization of NBTI induced Temporal Performance Degradation To see the temperature effect the temperature of the simulation in Nano-Scale SRAM array using IDDQ”. Purdue University, West Lafayette, Indiana, USA is increased to 125‟C. So when the temperature is increased to [6] R. Wittmann, H. Puchner, L. Hinh, “Impact of NBTI-Driven Parameter 125 „C the output is, Degradation on Lifetime of a 90nm p-MOSFET”, Institute for Microelectronics TU Wien. [7] S Mahapatra, P.Bharath Kumar, Student Member, IEEE,and M.A.Alam, “Investigation and Modeling of Interface and Bulk Trap Generation During Negative Bias Temperature Instability of p- MOSFETs”, IEEE Transactions on electron devices, Vol. 51, No. 9, September 2004 [8] Wenping Wang, Vijay Reddy, Anand T. Krishnan, Rakesh Vattikonda, Srikanth Krishnan, and Yu Cao, “An Integrated Modeling Paradigm of Circuit Reliability for 65nm CMOS Technology,” IEEE 2007 Custom Integrated Circuits Conference (CICC). [9] Renju Raju, Thomas “Reliability Implications of Bias-Temperature Instability in Digital ICs”. Technical University Munich. [10] Cyril Desclèves, Mark Hagan, Mark Hagan “Joint Design–Reliability Flows and Advanced Models Address IC-Reliability Issues”. [11] http://www.iue.tuwien.ac.at/phd/wittmann/node10.html “NBTI Reliability Analysis”. [12] Seyab, Said Hamdioui (Delft University of Technology) “Temperature Figure 9: Input, Output and Threshold voltage of a CMOS inverter Impact on NBTI Modeling in the Framework of Technology Scaling”. when temperature is 125'C [13] Chittoor Parthasarathy (ST), Philippe Raynaud (Mentor Graphics) At temperature 127‟C, the results are as follows for V (IN) “Reliability simulation in CMOS design using Eldo”. 0.75949V, [14] Kenichi Takahata, Micro Electronic and Mechanical Systems (ISBN 978-953-307-027-8, December 2009, INTECH, Croatia) , pp. 572 241 | P a g e