SlideShare una empresa de Scribd logo
1 de 7
Descargar para leer sin conexión
ISSN: 2278 – 1323
                                      International Journal of Advanced Research in Computer Engineering & Technology
                                                                                          Volume 1, Issue 4, June 2012



    Design and Implementation of IEEE-754 Addition and Subtraction for
                   Floating Point Arithmetic Logic Unit
              V.VINAY CHAMKUR                                                    Under the guidance of
           Vinayv.carrier@gmail.com                                                   Chetana.R
     M.Tech ,VLSI DESIGN and EMBEDDED                                   Asst pro ECE Dept, SJBIT Bengaluru-60.
                  SYSTEMS
                                                                                    chetana_73@yahoo.com


 ABSTRACT--- This paper describes the FPGA
 implementation of a Decimal Floating Point (DFP)
 adder/subtractor using IEEE 754-2008 format. In this
 paper we describe an efficient implementation of an IEEE
 754 single precision Standard for Binary Floating-
 Point Arithmetic to include specifications for
 decimal floating-point arithmetic. As processor
 support for decimal floating-point arithmetic emerges,
 it is important to investigate efficient algorithms
 and hardware designs for common decimal floating-
 point arithmetic algorithms. This paper presents novel
 designs for a decimal floating-point addition and
 subtraction. They are fully synthesizable hardware
 descriptions in VERILOG. Each one is presented for                                     Figure 1.IEEE floating point format
 high speed computing.
                                                                         Z = (-1S) * 2 (E - Bias) * (1.M)
   Keywords- IEEE-754 Floating Point Standard;                                                     
        Addition and Subtraction Algorithm.
                                                                       Where M = m22 2-1 + m21 2-2 + m20 2-3+…+ m1 2-22+ m0

              I.INTRODUCTION                                                               2-23; Bias = 127.
      Floating point numbers are one possible way of                                            FIG : -1
 representing real numbers in binary format; the IEEE 754           a) 1-bit sign s.
 [1] standard presents two different floating point formats,        b) A w + 5 bit combination field G encoding
 Binary interchange format and Decimal interchange                classification and, if the encoded datum is a finite
 format. Multiplying floating point numbers is a critical         number,the exponent q and four significand bits (1 or 3 of
 requirement for DSP applications involving large dynamic         which are implied). The biased exponent E is a w + 2 bit
 range. This paper focuses only on single precision               quantity q + bias, where the value of the first two bits of the
 normalized binary interchange format. Fig. 1 shows the           biased exponent taken together is either 0, 1, or 2.
 IEEE 754 single precision binary format representation; it        c) A t-bit trailing significand field T that contains
 consists of a one bit sign (S), an eight bit exponent (E), and   J × 10bits and contains the bulk of the significand. J
 a twenty three bit fraction (M or Mantissa). An extra bit is     represents the number of depletes.
 added to the fraction to form what is called the                   When this field is combined with the leading significand
 significand1. If the exponent is greater than 0 and smaller      bits from the combination field, the format encodes a total
 than 255, and there is 1 in the MSB of the significand then      of p = 3 × J + 1 decimal digits. The values of k, p, t, w, and
 the number is said to be a normalized number; in this case       bias for decimal64 interchange formats are 16, 50, 12, and
 the real number is represented by (1)
                                                                  398 respectively. That means that number has p=16 decimal
                                                                  digits of precision in the significand, an unbiased exponent
    The IEEE-754 standard specifies six numerical
                                                                  range of [383, 384], and a bias of 398.
operations: addition, subtraction, multiplication, division,
remainder, and square root. The standard also specifies rules         The IEEE-754 standard specifies six numerical
for converting to and from the different floating-point           operations: addition, subtraction, multiplication, division,
formats       (e.g     short/integer/ long        to /from        remainder, and square root. The standard also specifies rules
single/double/quad-precision), and conversion between the         for converting to and         from      the      different
different floating-point formats.                                           floating-point      formats (e.g short/integer/long
                                                                  to/from single/double/quad-precision), and conversion
                                                                  between the different floating-point formats.
                                                                                                                              443

                                               All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                      International Journal of Advanced Research in Computer Engineering & Technology
                                                                                          Volume 1, Issue 4, June 2012


, AX and BX are the significands and EAX, EBX and EX              the BCD coefficients A2 (with higher exponent,max(EA1,
are the exponents respectively. X is a digit that denotes the     EB1)) and B2 (with lower exponent, min(EA1,EB1)). In
outputs of different units. The symbol (N)Z T refers to Tth bit   parallel with the above mentioned, this unit generates an
of the Zth digit in a number N, where the least significant bit   exponent difference (Ed = |EA1 - EB1|), the exponent
and the least significant digit have index 0. For example,         E2 = max(EA1, EB1), the SWAP flag if a swapping
(A1)2                                                             process is carried out, and the right shift amount (RSA)
                                                                  which indicates how many digits B2 should be right shifted
 II. DECIMAL FLOATING POINT IN IEEE 754-2008:-                    in order to guarantee that both coefficients (A2, B2) have
The primary difference between two formats, besides the           the same exponent.
radix, is the normalization of the significands (coefficient or
mantissa). BFP significands are normalized with the radix              The RSA is computed as follows:
point to the right of the most significant bit (MSB), while                              if (Ed <= p_max)
DFP mantissa are not required to be normalized and are                                         RSA = Ed
represented as integers. The mantissa is encoded in densely                             else RSA = p_max
packed decimal,
The exponent must be in the range [emin, emax], when              The value p_max = 18 digits, RSA is limited to this
biased by bias. Representations for infinity and not-a            value since B2 contains 16 digits plus two digits which will
number (NaN) are also provided.                                   be processed to compute the guard and round digit.
                                                                    Next, the Shifting unit receives as inputs the RSA, and the
                                                                  significand B2 generating a shifted B2 (B3) and a 2-bit
Where s is the sign bit, C is the non-negative integer            signal called predicted sticky-bit (PSB) that will predict two
Significand and q the exponent. The exponent q is obtained        initials sticky bits. PSB and B3 will be utilized as inputs in
as a function of biased non-negative integer exponent E.          the decimal addition, control signals generation and post-
The mantissa is encoded in densely packed decimal [3], the        correction units, respectively.
exponent must be in the range [emin, emax], when biased           The outputs above mentioned plus two signals,
by bias. Representations for infinity and not-a-number            significand A2 and EOP, are taken as inputs in the control
(NaN) are also provided. Representations of floating-point        signals generation unit and generates the signals necessary
numbers in the decimal interchange formats are encoded in         to perform an addition or subtraction operation, these
k bits in the following three fields (Fig1):                      signals are described in the Sub-section 3.4 and are made up
                                                                  of a prior guard digit (RD2), the final partial sum (S2) and
  III. DECIMAL FLOATING-POINT ADDER                               the corrected exponent (E3).
  /SUBTRACTOR IMPLEMENTATION                                      digit (GD1), a prior round digit (RD1), an extra digit (ED), a
A general overview of proposed adder/subtractor is                signal which verifies if A2 > B3(AGTB) and a carry into
described below. For the best performance, the design             (CIN).
presents eight pipelined stages as is exhibited in the Fig. 2.             The significant BCD (A2, B3) and the CIN are
Arrows are used to show the direction of data flow, the           inputs the decimal addition unit generating the partial sum
dashed blocks indicate the main stages of the design, and the     of magnitude |S1| = |A2 + (-1) EOP B3| and a carry out
dotted line indicates the pipeline.                               (COUT), respectively.
   This architecture was proposed for the IEEE 754-2008           At once, the 16-digit decimal addition unit takes the A2, B3,
decimal64 format and can be extended for the decimal128           EOP and CIN and computes S1 as follows:
format. The adder/subtractor on decimal64 is carried out as
follows: The decoder unit takes the two 64-bit IEEE 754-          S1 = A2 + B3 if EOP = 0, S1 = A2 + cmp9 (B3)
2008 operands (OP1, OP2) to generate the sign bits (SA,              if EOP = 1 and A2 >= B3, and
SB), 16-digit BCD significant (A0, B0), 10-bit biased             S1 = cmp9 A2+cmp9(B3)) if EOP = 1 and A2 < B3.
exponents (EA, EB), the effective operation (EOP) and flags
for specials values of NaN or infinity. The signal EOP            The symbol cmp9 means the 9`s complement.
defines the effective operation (EOP = 0 for effective              The post-correction unit uses as inputs the PSB, the
addition and EOP = 1 for effective subtraction), this signal      Exponent E2, GD1, RD1, ED, the partial sum S1 and
is calculated as:                                                 COUT to verify, correct and compute the inputs signals if
                   EOP = SA xor SB xor OP-------- (2)             only the following two cases occur: 1) COUT=1 and EOP=0
As soon as possible the decoded significant become                and 2) (S1)15=0 and (GD1 > 0) and (EOP=1).
available, the leading zero detection unit (LZD) takes these
results and computes the temporary exponents (EA1, EB1)            The analysis is explained in the Sub-section 3.5.
and the normalized coefficients (A1, B1). The swapping unit        This unit generates the final sticky bit (FSB), the corrected
swaps the operands (A1, B1) if EA1 < EB1 and Generates            guard digit (GD2) and round Next, the Rounding unit takes
                                                                  the outputs of the prior unit and rounds S2 to produce the
                                                                                                                           444

                                               All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                      International Journal of Advanced Research in Computer Engineering & Technology
                                                                                          Volume 1, Issue 4, June 2012


result´s significand S3 and adjusts the exponent E3 to                Abstract”, will require you to apply a style (in this case,
calculate the final exponent E4. Simultaneously the              italic) in addition to the style provided by the drop down
overflow, underflow and sign bit signals                         menu to differentiate the head from the text.
The final sign bit is computed as:
      FS = (SA ^ ~EOP) V (EOP ^ (AGTB ⨁ SA ⨁ SWAP))


  IV.    PROBLEMS ASSOCIATED WITH
  FLOATING            POINT        ADDITION             &
  SUBTRACTION
    For the input the exponent of the number may be
dissimilar. And dissimilar exponent can’t be added directly.
So the first problem is equalizing the exponent. To equalize
the exponent the smaller number must be increased until it
equals to that of the larger number. Then significant are
added. Because of fixed size of mantissa and exponent of the
floating-point number cause many problems to arise during
addition and subtraction. The second problem associated with
overflow of mantissa. It can be solved by using the rounding
of the result. The third problem is associated with overflow
and underflow of the exponent. The former occurs when
mantissa overflow and an adjustment in the exponent is
attempted the underflow can occur while normalizing a
small result. Unlike the case in the fixed-point addition, an
overflow in the mantissa is not disabling; simply shifting the
mantissa and increasing the exponent can compensate for
such an overflow. Another problem is associated with
normalization of addition and subtraction. The sum or
difference of two significant may be a number, which is not
in normalized form. So it should be normalized before
returning results

V.ADDITION AND SUBTRACRION ALGORITHM

    Let a1 and a2 be the two numbers to be added. The
notations ei       and si      are used for the exponent and
significant of the addends ai. This means that the floating-
point inputs have been unpacked and that si has an explicit
leading bit. To add a1 and a2, perform these eight steps:
    1. If e1 < e2, swap the operands. This ensures that the
difference of the exponents satisfies d = e1–e2  0.
Tentatively set the exponent of the result to e1.
    2. If the sign of a1 and a2 differ, replace s2 by its
two’s complement.
    3. Place s2 in a p-bit register and shift it d = e1-e2
places to the right (shifting in 1’s if the s2 was
complemented in previous step). From the bits shifted out,
set g to the most- significant bit, r to the next most-
significant bit, and set sticky bit s to the OR of the rest.
4.        Compute a preliminary significant S = s1+s2
by adding s1 to the p-bit register containing s2. If the
signs of a1



                                                                 Figure 2: implementaition diagram are generated.

                                                                                                                            445

                                              All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                        International Journal of Advanced Research in Computer Engineering & Technology
                                                                                            Volume 1, Issue 4, June 2012


   ,                                                                  exponent. This is the significant of the result.
                                                                      8.Compute the sign of the result. If a1 and a2 have the same
                                                                      sign, this is the sign of the result.If a1 and a2 have different
                                                                       signs, then the sign of the result depends on which of a1, a2
                                                                          is negative, whether there was a swap in the step 1 and
                                                                        whether S was replaced by its two’s complement in step 4.
                                                                                              As in table below

                                                                           Swap Compleme Sign (a1) Sign                          Sign
                                                                         Y                 
                                                                                           nt            +            -
                                                                                                                    (a2)           -
                                                                                                                               (result)
                                                                         es                             -           +             +
                                                                         Y                               +            -            +
                                                                         es           N                  -           +             -
                                                                         N            o                  +            -            -
                                                                         o                               -           +             +
                                                                         N            N
                                                                         o            o SPECIAL CONDITIONS
                                                                                       VI.
                                                                         N
                                                                          Some special conditions are checked before processing.
                                                                         o            Y
                                                                      If any condition is met then we have no need to calculate the
                                                                                      e
                                                                         N by normal procedure. Results are directly calculated.
                                                                      result
                                                                                      s
                                                                      Soo the operations are bypassed when any such condition
                                                                          all
                                                                      is met.
                                                                          1. If a1Y 0 and a2 = 0 then result will be zero.
                                                                                       =
                                                                          2. If a1e= a2 and sign of a1  sign of a2 then result
     and a2 are different, the most-significant bit of S is 1,                        s
                                                                      will be again zero.
and there was no carry out then S is negative. Replace S                  3. If a1 = 0 and a2  0 then result will be equal to
with its two’s complement. This can only happen when d =              a2.
0.                                                                        4. If a2 = 0 and a1  0 then result will be equal to a1.
     5. Shift S as follows. If the signs of a1 and a2 are                 5. If d = |e1 – e2| > 24 then result will be equal to larger
same and there was a carry out in step 4, shift S right by            of a1 and a2.
one, filling the high order position with one (the carry out).
Otherwise shift it left until it is normalized. When left
shifting, on the first shift fill in the low order position with       VII.Hardware Approach
the g bit. After that, shift in zeros. Adjust the exponent of
the result accordingly.
     6. Adjust r and s. If S was shifted right in step 5, set r:
= low order bit of S before
     shifting and s: = g or r or s. If there was no shift, set r: =
g, s: = r. If there was a single left shift, don’t change r and s.
If there were two or more left shifts, set r: = 0, s: = 0. (In the
last case, two or more shifts can only happen when a1 and
a2 have opposite signs and the same exponent, in which
case the computation s1 + s2 in step 4 will be exact.)
     7. Round S using following rounding rules as in Table ;
        Rounding          Sign of result 0 Sign of result <0
           Mode
              -                                     +1 if r  s
         + +1 if r  s
               0
          Nearest         +1 if r  p0 or r +1 if r  p0 or r             The block diagrams of the architecture used for
                                                                      combinational adder is shown above in
If a table entry is non empty, adds1 to the low orders of S.
                                                         bit             Figure 4, step by step from the lower abstract level to
If rounding causes carry out, shift S right and adjust the            the higher abstract level.
                                                                                                                                  446

                                                 All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                       International Journal of Advanced Research in Computer Engineering & Technology
                                                                                           Volume 1, Issue 4, June 2012


                                                                          Input 2 = 00111111100101100110011001100110
    B Unsigned Adder (for exponent                                                        (1.175 10)
                addition)                                                                Required Result =
    This unsigned adder is responsible for adding the                        01000000100010010111000010100011
exponent of the first input to the exponent of the second                                   (4.295 10)
input and subtracting the Bias (127) from the addition
result (i.e. A_exponent + B_exponent - Bias). The result of                              Obtained Result =
this stage is called the intermediate exponent. The add                 01000000100010010111000010100011 (4.295 10)
operation is done on
8 bits, and there is no need for a quick result because most
of the calculation time is spent in the significand
multiplication process (multiplying 24 bits by 24 bits); thus
we need a moderate exponent adder and a fast significand
multiplier.
   An 8-bit ripple carry adder is used to add the two input
exponents. As shown in Fig. 3 a ripple carry adder is a
chain of cascaded full adders and one half adder; each full
adder has three inputs (A, B, Ci) and two outputs (S, Co).
The carry out (Co) of each adder is fed to the next full
adder (i.e each carry bit "ripples" to the next full adder).            Input 1 = 01000000100010000000000000000000 (4.25
                                                                                               10)
                                                                           Input 2 = 01000001010010000111101011100010
                                                                                           (12.53 10)
                                                                                          Required Result =
                                                                         01000001100001100011110101110000 (16.78 10)
                                                                                          Obtained Result =
                                                                         01000001100001100011110101110001 (16.78 10)




                Figure 5 : - ripple carry adder
The addition process produces an 8 bit sum (S7 to S0) and a
carry bit (Co,7). These bits are concatenated to form a 9
bit addition result (S8 to S0) from which the Bias is
subtracted. The Bias is subtracted using an array of ripple
borrow subtractors.                                                    FLOATING POINT SUBTRACTOR
                                                                          Input 1 = 01000001100001100011110101110000
      A normal subtractor has three inputs (minuend(S),
                                                                                           (16.78 10)
subtrahend (T), Borrow out (Bo)).The subtractor logic can be
                                                                        Input 2 = 01000000100010000000000000000000 (4.25
optimized if one of its inputs is a constant value which is our
                                                                                              10)
case, where the Bias is constant (127|10 = 001111111|2).
                                                                                         Required Result =
                                                                        01000001010010000111101011100010 (12.53 10)
         S    T     Bi      Difference(R)   Bo
                                                                                         Obtained Result =
          0    1     0           1           1                          01000001010010000111101011100010 (12.53 10)
          1    1     0           0           0
          0    1     1           0           1
          1    1     1           1           1


          The above table shows one bit subtractor


VIII.SIMULATION RESULTS
 FLOATING POINT ADDER
      Input 1 = 01000000010001111010111000010100                           Input 1 = 01000001100101010110011001100110
                      (3.120 10)
                                                                                                                        447

                                                 All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                      International Journal of Advanced Research in Computer Engineering & Technology
                                                                                          Volume 1, Issue 4, June 2012


                         (18.675 10)                              amount of function generators and registers used to perform
         Input 2 = 01000000100101010111000010100011               operations on the extra bits; also the speed of Xilinx core is
                          (4.670 10)                              affected by the fact that it implements the round to nearest
                        Required Result =                         rounding mode.
       0100000101100000000101000111101 (14.005 10)
                        Obtained Result =
       0100000101100000000101000111101 (14.005 10)                X. CONCLUSIONS AND FUTURE WORK

                                                                       This paper deals with development of a Floating Point
                                                                   adder and subtractor for ALU in VHDL and verilog with
                                                                   the help of ModelSim and synthesized with Xilinx tools.
                                                                   Simulation results of all the designed programs have been
                                                                   carried out for various inputs with the help of ModelSim
                                                                   tool. Both are available in single cycle and pipeline
                                                                   architectures and fully synthesizable with performance
                                                                   comparable      to    other     available    high   speed
                                                                   implementations. The design is described as graphical
                                                                   schematics and VHDL code. This dual representation is
                                                                   very valuable as allows for easy navigation over all the
  IX.IMPLEMENTATION AND TESTING                                    components of the units, which allows for a faster
     The whole adder (top unit) was tested against the             understanding of their interrelationships and the
 Xilinx floating point adder core generated by Xilinx              different aspects of a Floating Point operation.
 coregen. Xilinx core was customized to have two flags to
 indicate overflow and underflow, and to have a maximum                                     References
 latency of three cycles. Xilinx core implements the “round
 to nearest” rounding mode.                                       [1]M. F. Cowlishaw, “ Decimal floating-point: algorism for
                                                                      computers,” inProc.6th IEEE Symp. Computer
      A testbench is used to generate the stimulus and             Arithmetic, 2003, pp. 104– 111.
  applies it to the implemented floating point adder and to the   [2] E. M. Schwarz, J. S. Kapernick, and M. F.
  Xilinx core then compares the results. The floating point           Cowlishaw,“ Decimal floating-point support on the IBM
  multiplier code         was       also checked         using       System z10 processor,” 2009, iBM Journal of
  DesignChecker          [7]. DesignChecker is a linting tool        Research and Development.
  which helps in filtering design issues    like gated            [3]IEEE Standard for Floating-Point Arithmetic,” pp. 1– 58,
 clocks, unused/undriven logic, and combinational loops.              2008, iEEE Std 754-2008.
The design was synthesized using Precision            synthesis   [4] F. Y. Busaba, C. A. Krygowski, W. H. Li, E. M. Schwarz,
tool [8] targeting Xilinx Virtex-5 ,5VFX200TFF1738                    and S. R. Carlough, “ The IBM z900 decimal arithmetic
with a timing constraint of 300MHz. Post synthesis and place          unit,” in Proc. Conf Signals, S ystems and Computers
and route simulations were made to ensure the design                 Record of the Thirty-Fifth Asilomar Conf, vol. 2, 2001,
functionality after synthesis and place and route. shows the        pp.1335– 1339.
resources and frequency of the implemented floating               [5] W. Haller, K. Ulrich, L. Thomas, and H. Wetter,
                                                                     “ Combined binary/decimal adder unit,” in International
point multiplier and Xilinx core
                                                                           Business Machines Corporation (Armonk, NY), 1999.
                                                                       [6]    G. Bohlender and T. Teufel, “ BAP-SC:                 A
                           Clk         Delay Mops                      Decimal Floating-Point        Processors     for      Optimal
            Technology          Cycles
                         (GHz)          (ns)   /
                                                                       Arithmetic,”     in Computerarithmetic:              Scientific
          Itanium2 [18]      1.    21   156.  se 6.
                                                                       Computation
         Xeon5100 [19]        4
                             3.     9
                                   13    4
                                         44.   c 22.4
  SW




            Xeon [18]         0
                             3.     3
                                   24     3
                                         77.       6
                                                  12.             [7]    J. Thompson, N. Karra, and M. J. Schulte, “ A 64-
         Pentium M [21]       2
                             1.     9
                                  848 565.8        9
                                                   1.                 bit decimal floating-point adder,”      in Proc. IEEE
           Power6 [22]        5
                             5.      1   33.        8
                                                 294.                 Computer
                              0      7     4      1                     society Annual Symp. VLSI, 2004, pp.
             Z10 [23]        4.      1    2.     366.
                                                                        297– 298.
  HW




         BID 65nm [10]        4
                             1.    3-2     7
                                         10.      7
                                                 100.              [8] M. S. Cohen, T. E. Hull, and V. C. Hamacher,
        BID Virtex 5 [9]      3
                            0.1    13
                                 13-      0
                                        109.      09.                   “ CADAC: A Controlled-Precision Decimal Arithmetic
        Proposed Virtex5     6
                             0.   188    840        1
                                                 200.                   Unit,” no. 4, pp.370– 377, 1983.
                              2                   0               [9] A. Farmahini-Farahani, C. Tsen, and K. Compton, “ FPGA
    The area of Xilinx core is less than the                            implementation of a 64-Bit BID-based decimal floating-
implemented floating point   adder because the                          point adder/subtractor,”     in Proc. Int. Conf. F
          latter doesn‟ t truncate/round the 48 bits                    ield- Programmable Technology FPT 2009, 2009, pp.
result of the mantissa multiplier which is reflected in the             518– 521.

                                                                                                                                448

                                               All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                                    International Journal of Advanced Research in Computer Engineering & Technology
                                                                                        Volume 1, Issue 4, June 2012


[10]        C. Tsen, S. Gonzalez-Navarro, and M. Schulte,          Design, 2007. ICCD2007.
       “ Hardware design of a Binary Integer Decimal-based    [11] C. Minchola and G. Sutter, “ A FPGA IEEE-754-2008
       floating-pointadder,” pp. 288– 295, 2007, computer          Decimal64 Floating-Point




                                                                                                                 449

                                            All Rights Reserved © 2012 IJARCET

Más contenido relacionado

La actualidad más candente

IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Digital electronics(EC8392) unit- 1-Sesha Vidhya S/ ASP/ECE/RMKCET
Digital electronics(EC8392) unit- 1-Sesha Vidhya S/ ASP/ECE/RMKCETDigital electronics(EC8392) unit- 1-Sesha Vidhya S/ ASP/ECE/RMKCET
Digital electronics(EC8392) unit- 1-Sesha Vidhya S/ ASP/ECE/RMKCETSeshaVidhyaS
 
COM1407: Variables and Data Types
COM1407: Variables and Data Types COM1407: Variables and Data Types
COM1407: Variables and Data Types Hemantha Kulathilake
 
An Efficient Design for Data Encryption and Decryption using Reconfigurable R...
An Efficient Design for Data Encryption and Decryption using Reconfigurable R...An Efficient Design for Data Encryption and Decryption using Reconfigurable R...
An Efficient Design for Data Encryption and Decryption using Reconfigurable R...IRJET Journal
 
Chapter 2 Part2 A
Chapter 2 Part2 AChapter 2 Part2 A
Chapter 2 Part2 Aececourse
 
Mcs 012 computer organisation and assemly language programming- ignou assignm...
Mcs 012 computer organisation and assemly language programming- ignou assignm...Mcs 012 computer organisation and assemly language programming- ignou assignm...
Mcs 012 computer organisation and assemly language programming- ignou assignm...Dr. Loganathan R
 
Comprehasive Exam - IT
Comprehasive Exam - ITComprehasive Exam - IT
Comprehasive Exam - ITguest6ddfb98
 
Computer organiztion3
Computer organiztion3Computer organiztion3
Computer organiztion3Umang Gupta
 
FPGA Based Decimal Matrix Code for Passive RFID Tag
FPGA Based Decimal Matrix Code for Passive RFID TagFPGA Based Decimal Matrix Code for Passive RFID Tag
FPGA Based Decimal Matrix Code for Passive RFID TagIJERA Editor
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Scope of signals and systems
Scope of signals and systemsScope of signals and systems
Scope of signals and systemsDr.SHANTHI K.G
 
Implementation of Elliptic Curve Digital Signature Algorithm Using Variable T...
Implementation of Elliptic Curve Digital Signature Algorithm Using Variable T...Implementation of Elliptic Curve Digital Signature Algorithm Using Variable T...
Implementation of Elliptic Curve Digital Signature Algorithm Using Variable T...ijceronline
 

La actualidad más candente (16)

Combinational Logic Circuits
Combinational Logic CircuitsCombinational Logic Circuits
Combinational Logic Circuits
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Digital electronics(EC8392) unit- 1-Sesha Vidhya S/ ASP/ECE/RMKCET
Digital electronics(EC8392) unit- 1-Sesha Vidhya S/ ASP/ECE/RMKCETDigital electronics(EC8392) unit- 1-Sesha Vidhya S/ ASP/ECE/RMKCET
Digital electronics(EC8392) unit- 1-Sesha Vidhya S/ ASP/ECE/RMKCET
 
COM1407: Variables and Data Types
COM1407: Variables and Data Types COM1407: Variables and Data Types
COM1407: Variables and Data Types
 
Unit i
Unit iUnit i
Unit i
 
C0421013019
C0421013019C0421013019
C0421013019
 
An Efficient Design for Data Encryption and Decryption using Reconfigurable R...
An Efficient Design for Data Encryption and Decryption using Reconfigurable R...An Efficient Design for Data Encryption and Decryption using Reconfigurable R...
An Efficient Design for Data Encryption and Decryption using Reconfigurable R...
 
Chapter 2 Part2 A
Chapter 2 Part2 AChapter 2 Part2 A
Chapter 2 Part2 A
 
Mcs 012 computer organisation and assemly language programming- ignou assignm...
Mcs 012 computer organisation and assemly language programming- ignou assignm...Mcs 012 computer organisation and assemly language programming- ignou assignm...
Mcs 012 computer organisation and assemly language programming- ignou assignm...
 
Comprehasive Exam - IT
Comprehasive Exam - ITComprehasive Exam - IT
Comprehasive Exam - IT
 
Computer organiztion3
Computer organiztion3Computer organiztion3
Computer organiztion3
 
FPGA Based Decimal Matrix Code for Passive RFID Tag
FPGA Based Decimal Matrix Code for Passive RFID TagFPGA Based Decimal Matrix Code for Passive RFID Tag
FPGA Based Decimal Matrix Code for Passive RFID Tag
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
Scope of signals and systems
Scope of signals and systemsScope of signals and systems
Scope of signals and systems
 
Implementation of Elliptic Curve Digital Signature Algorithm Using Variable T...
Implementation of Elliptic Curve Digital Signature Algorithm Using Variable T...Implementation of Elliptic Curve Digital Signature Algorithm Using Variable T...
Implementation of Elliptic Curve Digital Signature Algorithm Using Variable T...
 
Chapter 4: Combinational Logic
Chapter 4: Combinational LogicChapter 4: Combinational Logic
Chapter 4: Combinational Logic
 

Destacado (13)

106 110
106 110106 110
106 110
 
102 104
102 104102 104
102 104
 
65 69
65 6965 69
65 69
 
Approximate Bayesian Computation on GPUs
Approximate Bayesian Computation on GPUsApproximate Bayesian Computation on GPUs
Approximate Bayesian Computation on GPUs
 
Statistical analysis of network data and evolution on GPUs: High-performance ...
Statistical analysis of network data and evolution on GPUs: High-performance ...Statistical analysis of network data and evolution on GPUs: High-performance ...
Statistical analysis of network data and evolution on GPUs: High-performance ...
 
585 589
585 589585 589
585 589
 
471 475
471 475471 475
471 475
 
91 94
91 9491 94
91 94
 
Multi-Scale Models in Immunobiology
Multi-Scale Models in ImmunobiologyMulti-Scale Models in Immunobiology
Multi-Scale Models in Immunobiology
 
18 23
18 2318 23
18 23
 
67 79
67 7967 79
67 79
 
Kelompok 10 equisetum & pinus
Kelompok 10 equisetum & pinusKelompok 10 equisetum & pinus
Kelompok 10 equisetum & pinus
 
Ppt elektroforesis
Ppt elektroforesisPpt elektroforesis
Ppt elektroforesis
 

Similar a 443 449

International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...jmicro
 
IRJET- Implementation of Floating Point FFT Processor with Single Precision f...
IRJET- Implementation of Floating Point FFT Processor with Single Precision f...IRJET- Implementation of Floating Point FFT Processor with Single Precision f...
IRJET- Implementation of Floating Point FFT Processor with Single Precision f...IRJET Journal
 
Number formats for signals and coefficients in DSP system
Number formats for signals and coefficients in DSP systemNumber formats for signals and coefficients in DSP system
Number formats for signals and coefficients in DSP systemsarithabanala
 
Comparison of Adders for optimized Exponent Addition circuit in IEEE754 Float...
Comparison of Adders for optimized Exponent Addition circuit in IEEE754 Float...Comparison of Adders for optimized Exponent Addition circuit in IEEE754 Float...
Comparison of Adders for optimized Exponent Addition circuit in IEEE754 Float...IJERD Editor
 
EFFICIENT MULTIPLIERS FOR 1-OUT-OF-3 BINARY SIGNED-DIGIT NUMBER SYSTEM
EFFICIENT MULTIPLIERS FOR 1-OUT-OF-3 BINARY SIGNED-DIGIT NUMBER SYSTEMEFFICIENT MULTIPLIERS FOR 1-OUT-OF-3 BINARY SIGNED-DIGIT NUMBER SYSTEM
EFFICIENT MULTIPLIERS FOR 1-OUT-OF-3 BINARY SIGNED-DIGIT NUMBER SYSTEMelelijjournal
 
An Area Efficient Vedic-Wallace based Variable Precision Hardware Multiplier ...
An Area Efficient Vedic-Wallace based Variable Precision Hardware Multiplier ...An Area Efficient Vedic-Wallace based Variable Precision Hardware Multiplier ...
An Area Efficient Vedic-Wallace based Variable Precision Hardware Multiplier ...IDES Editor
 
Area and power performance analysis of floating point ALU using pipelining
Area and power performance analysis of floating point  ALU using pipeliningArea and power performance analysis of floating point  ALU using pipelining
Area and power performance analysis of floating point ALU using pipeliningIRJET Journal
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...IJERA Editor
 
Design of 32-bit Floating Point Unit for Advanced Processors
Design of 32-bit Floating Point Unit for Advanced ProcessorsDesign of 32-bit Floating Point Unit for Advanced Processors
Design of 32-bit Floating Point Unit for Advanced ProcessorsIJERA Editor
 

Similar a 443 449 (20)

International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Gr2512211225
Gr2512211225Gr2512211225
Gr2512211225
 
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...
 
IRJET- Implementation of Floating Point FFT Processor with Single Precision f...
IRJET- Implementation of Floating Point FFT Processor with Single Precision f...IRJET- Implementation of Floating Point FFT Processor with Single Precision f...
IRJET- Implementation of Floating Point FFT Processor with Single Precision f...
 
Final modified ppts
Final modified pptsFinal modified ppts
Final modified ppts
 
At36276280
At36276280At36276280
At36276280
 
BCDCONVERTER.pptx
BCDCONVERTER.pptxBCDCONVERTER.pptx
BCDCONVERTER.pptx
 
Jz2517611766
Jz2517611766Jz2517611766
Jz2517611766
 
Jz2517611766
Jz2517611766Jz2517611766
Jz2517611766
 
Id2514581462
Id2514581462Id2514581462
Id2514581462
 
Id2514581462
Id2514581462Id2514581462
Id2514581462
 
Number formats for signals and coefficients in DSP system
Number formats for signals and coefficients in DSP systemNumber formats for signals and coefficients in DSP system
Number formats for signals and coefficients in DSP system
 
Comparison of Adders for optimized Exponent Addition circuit in IEEE754 Float...
Comparison of Adders for optimized Exponent Addition circuit in IEEE754 Float...Comparison of Adders for optimized Exponent Addition circuit in IEEE754 Float...
Comparison of Adders for optimized Exponent Addition circuit in IEEE754 Float...
 
EFFICIENT MULTIPLIERS FOR 1-OUT-OF-3 BINARY SIGNED-DIGIT NUMBER SYSTEM
EFFICIENT MULTIPLIERS FOR 1-OUT-OF-3 BINARY SIGNED-DIGIT NUMBER SYSTEMEFFICIENT MULTIPLIERS FOR 1-OUT-OF-3 BINARY SIGNED-DIGIT NUMBER SYSTEM
EFFICIENT MULTIPLIERS FOR 1-OUT-OF-3 BINARY SIGNED-DIGIT NUMBER SYSTEM
 
Ap32283286
Ap32283286Ap32283286
Ap32283286
 
An Area Efficient Vedic-Wallace based Variable Precision Hardware Multiplier ...
An Area Efficient Vedic-Wallace based Variable Precision Hardware Multiplier ...An Area Efficient Vedic-Wallace based Variable Precision Hardware Multiplier ...
An Area Efficient Vedic-Wallace based Variable Precision Hardware Multiplier ...
 
Area and power performance analysis of floating point ALU using pipelining
Area and power performance analysis of floating point  ALU using pipeliningArea and power performance analysis of floating point  ALU using pipelining
Area and power performance analysis of floating point ALU using pipelining
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
 
H010414651
H010414651H010414651
H010414651
 
Design of 32-bit Floating Point Unit for Advanced Processors
Design of 32-bit Floating Point Unit for Advanced ProcessorsDesign of 32-bit Floating Point Unit for Advanced Processors
Design of 32-bit Floating Point Unit for Advanced Processors
 

Más de Editor IJARCET

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationEditor IJARCET
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Editor IJARCET
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Editor IJARCET
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Editor IJARCET
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Editor IJARCET
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Editor IJARCET
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Editor IJARCET
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Editor IJARCET
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Editor IJARCET
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Editor IJARCET
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Editor IJARCET
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Editor IJARCET
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Editor IJARCET
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Editor IJARCET
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Editor IJARCET
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Editor IJARCET
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Editor IJARCET
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Editor IJARCET
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Editor IJARCET
 

Más de Editor IJARCET (20)

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturization
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107
 

Último

Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
The Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfThe Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfSeasiaInfotech2
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 

Último (20)

Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
The Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfThe Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdf
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 

443 449

  • 1. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 Design and Implementation of IEEE-754 Addition and Subtraction for Floating Point Arithmetic Logic Unit V.VINAY CHAMKUR Under the guidance of Vinayv.carrier@gmail.com Chetana.R M.Tech ,VLSI DESIGN and EMBEDDED Asst pro ECE Dept, SJBIT Bengaluru-60. SYSTEMS chetana_73@yahoo.com ABSTRACT--- This paper describes the FPGA implementation of a Decimal Floating Point (DFP) adder/subtractor using IEEE 754-2008 format. In this paper we describe an efficient implementation of an IEEE 754 single precision Standard for Binary Floating- Point Arithmetic to include specifications for decimal floating-point arithmetic. As processor support for decimal floating-point arithmetic emerges, it is important to investigate efficient algorithms and hardware designs for common decimal floating- point arithmetic algorithms. This paper presents novel designs for a decimal floating-point addition and subtraction. They are fully synthesizable hardware descriptions in VERILOG. Each one is presented for Figure 1.IEEE floating point format high speed computing. Z = (-1S) * 2 (E - Bias) * (1.M) Keywords- IEEE-754 Floating Point Standard;  Addition and Subtraction Algorithm. Where M = m22 2-1 + m21 2-2 + m20 2-3+…+ m1 2-22+ m0 I.INTRODUCTION 2-23; Bias = 127. Floating point numbers are one possible way of FIG : -1 representing real numbers in binary format; the IEEE 754 a) 1-bit sign s. [1] standard presents two different floating point formats, b) A w + 5 bit combination field G encoding Binary interchange format and Decimal interchange classification and, if the encoded datum is a finite format. Multiplying floating point numbers is a critical number,the exponent q and four significand bits (1 or 3 of requirement for DSP applications involving large dynamic which are implied). The biased exponent E is a w + 2 bit range. This paper focuses only on single precision quantity q + bias, where the value of the first two bits of the normalized binary interchange format. Fig. 1 shows the biased exponent taken together is either 0, 1, or 2. IEEE 754 single precision binary format representation; it c) A t-bit trailing significand field T that contains consists of a one bit sign (S), an eight bit exponent (E), and J × 10bits and contains the bulk of the significand. J a twenty three bit fraction (M or Mantissa). An extra bit is represents the number of depletes. added to the fraction to form what is called the When this field is combined with the leading significand significand1. If the exponent is greater than 0 and smaller bits from the combination field, the format encodes a total than 255, and there is 1 in the MSB of the significand then of p = 3 × J + 1 decimal digits. The values of k, p, t, w, and the number is said to be a normalized number; in this case bias for decimal64 interchange formats are 16, 50, 12, and the real number is represented by (1) 398 respectively. That means that number has p=16 decimal digits of precision in the significand, an unbiased exponent The IEEE-754 standard specifies six numerical range of [383, 384], and a bias of 398. operations: addition, subtraction, multiplication, division, remainder, and square root. The standard also specifies rules The IEEE-754 standard specifies six numerical for converting to and from the different floating-point operations: addition, subtraction, multiplication, division, formats (e.g short/integer/ long to /from remainder, and square root. The standard also specifies rules single/double/quad-precision), and conversion between the for converting to and from the different different floating-point formats. floating-point formats (e.g short/integer/long to/from single/double/quad-precision), and conversion between the different floating-point formats. 443 All Rights Reserved © 2012 IJARCET
  • 2. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 , AX and BX are the significands and EAX, EBX and EX the BCD coefficients A2 (with higher exponent,max(EA1, are the exponents respectively. X is a digit that denotes the EB1)) and B2 (with lower exponent, min(EA1,EB1)). In outputs of different units. The symbol (N)Z T refers to Tth bit parallel with the above mentioned, this unit generates an of the Zth digit in a number N, where the least significant bit exponent difference (Ed = |EA1 - EB1|), the exponent and the least significant digit have index 0. For example, E2 = max(EA1, EB1), the SWAP flag if a swapping (A1)2 process is carried out, and the right shift amount (RSA) which indicates how many digits B2 should be right shifted II. DECIMAL FLOATING POINT IN IEEE 754-2008:- in order to guarantee that both coefficients (A2, B2) have The primary difference between two formats, besides the the same exponent. radix, is the normalization of the significands (coefficient or mantissa). BFP significands are normalized with the radix The RSA is computed as follows: point to the right of the most significant bit (MSB), while if (Ed <= p_max) DFP mantissa are not required to be normalized and are RSA = Ed represented as integers. The mantissa is encoded in densely else RSA = p_max packed decimal, The exponent must be in the range [emin, emax], when The value p_max = 18 digits, RSA is limited to this biased by bias. Representations for infinity and not-a value since B2 contains 16 digits plus two digits which will number (NaN) are also provided. be processed to compute the guard and round digit. Next, the Shifting unit receives as inputs the RSA, and the significand B2 generating a shifted B2 (B3) and a 2-bit Where s is the sign bit, C is the non-negative integer signal called predicted sticky-bit (PSB) that will predict two Significand and q the exponent. The exponent q is obtained initials sticky bits. PSB and B3 will be utilized as inputs in as a function of biased non-negative integer exponent E. the decimal addition, control signals generation and post- The mantissa is encoded in densely packed decimal [3], the correction units, respectively. exponent must be in the range [emin, emax], when biased The outputs above mentioned plus two signals, by bias. Representations for infinity and not-a-number significand A2 and EOP, are taken as inputs in the control (NaN) are also provided. Representations of floating-point signals generation unit and generates the signals necessary numbers in the decimal interchange formats are encoded in to perform an addition or subtraction operation, these k bits in the following three fields (Fig1): signals are described in the Sub-section 3.4 and are made up of a prior guard digit (RD2), the final partial sum (S2) and III. DECIMAL FLOATING-POINT ADDER the corrected exponent (E3). /SUBTRACTOR IMPLEMENTATION digit (GD1), a prior round digit (RD1), an extra digit (ED), a A general overview of proposed adder/subtractor is signal which verifies if A2 > B3(AGTB) and a carry into described below. For the best performance, the design (CIN). presents eight pipelined stages as is exhibited in the Fig. 2. The significant BCD (A2, B3) and the CIN are Arrows are used to show the direction of data flow, the inputs the decimal addition unit generating the partial sum dashed blocks indicate the main stages of the design, and the of magnitude |S1| = |A2 + (-1) EOP B3| and a carry out dotted line indicates the pipeline. (COUT), respectively. This architecture was proposed for the IEEE 754-2008 At once, the 16-digit decimal addition unit takes the A2, B3, decimal64 format and can be extended for the decimal128 EOP and CIN and computes S1 as follows: format. The adder/subtractor on decimal64 is carried out as follows: The decoder unit takes the two 64-bit IEEE 754- S1 = A2 + B3 if EOP = 0, S1 = A2 + cmp9 (B3) 2008 operands (OP1, OP2) to generate the sign bits (SA, if EOP = 1 and A2 >= B3, and SB), 16-digit BCD significant (A0, B0), 10-bit biased S1 = cmp9 A2+cmp9(B3)) if EOP = 1 and A2 < B3. exponents (EA, EB), the effective operation (EOP) and flags for specials values of NaN or infinity. The signal EOP The symbol cmp9 means the 9`s complement. defines the effective operation (EOP = 0 for effective The post-correction unit uses as inputs the PSB, the addition and EOP = 1 for effective subtraction), this signal Exponent E2, GD1, RD1, ED, the partial sum S1 and is calculated as: COUT to verify, correct and compute the inputs signals if EOP = SA xor SB xor OP-------- (2) only the following two cases occur: 1) COUT=1 and EOP=0 As soon as possible the decoded significant become and 2) (S1)15=0 and (GD1 > 0) and (EOP=1). available, the leading zero detection unit (LZD) takes these results and computes the temporary exponents (EA1, EB1) The analysis is explained in the Sub-section 3.5. and the normalized coefficients (A1, B1). The swapping unit This unit generates the final sticky bit (FSB), the corrected swaps the operands (A1, B1) if EA1 < EB1 and Generates guard digit (GD2) and round Next, the Rounding unit takes the outputs of the prior unit and rounds S2 to produce the 444 All Rights Reserved © 2012 IJARCET
  • 3. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 result´s significand S3 and adjusts the exponent E3 to Abstract”, will require you to apply a style (in this case, calculate the final exponent E4. Simultaneously the italic) in addition to the style provided by the drop down overflow, underflow and sign bit signals menu to differentiate the head from the text. The final sign bit is computed as: FS = (SA ^ ~EOP) V (EOP ^ (AGTB ⨁ SA ⨁ SWAP)) IV. PROBLEMS ASSOCIATED WITH FLOATING POINT ADDITION & SUBTRACTION For the input the exponent of the number may be dissimilar. And dissimilar exponent can’t be added directly. So the first problem is equalizing the exponent. To equalize the exponent the smaller number must be increased until it equals to that of the larger number. Then significant are added. Because of fixed size of mantissa and exponent of the floating-point number cause many problems to arise during addition and subtraction. The second problem associated with overflow of mantissa. It can be solved by using the rounding of the result. The third problem is associated with overflow and underflow of the exponent. The former occurs when mantissa overflow and an adjustment in the exponent is attempted the underflow can occur while normalizing a small result. Unlike the case in the fixed-point addition, an overflow in the mantissa is not disabling; simply shifting the mantissa and increasing the exponent can compensate for such an overflow. Another problem is associated with normalization of addition and subtraction. The sum or difference of two significant may be a number, which is not in normalized form. So it should be normalized before returning results V.ADDITION AND SUBTRACRION ALGORITHM Let a1 and a2 be the two numbers to be added. The notations ei and si are used for the exponent and significant of the addends ai. This means that the floating- point inputs have been unpacked and that si has an explicit leading bit. To add a1 and a2, perform these eight steps: 1. If e1 < e2, swap the operands. This ensures that the difference of the exponents satisfies d = e1–e2  0. Tentatively set the exponent of the result to e1. 2. If the sign of a1 and a2 differ, replace s2 by its two’s complement. 3. Place s2 in a p-bit register and shift it d = e1-e2 places to the right (shifting in 1’s if the s2 was complemented in previous step). From the bits shifted out, set g to the most- significant bit, r to the next most- significant bit, and set sticky bit s to the OR of the rest. 4. Compute a preliminary significant S = s1+s2 by adding s1 to the p-bit register containing s2. If the signs of a1 Figure 2: implementaition diagram are generated. 445 All Rights Reserved © 2012 IJARCET
  • 4. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 , exponent. This is the significant of the result. 8.Compute the sign of the result. If a1 and a2 have the same sign, this is the sign of the result.If a1 and a2 have different signs, then the sign of the result depends on which of a1, a2 is negative, whether there was a swap in the step 1 and whether S was replaced by its two’s complement in step 4. As in table below Swap Compleme Sign (a1) Sign Sign Y  nt + - (a2) - (result) es  - + + Y + - + es N - + - N o + - - o - + + N N o o SPECIAL CONDITIONS VI. N Some special conditions are checked before processing. o Y If any condition is met then we have no need to calculate the e N by normal procedure. Results are directly calculated. result s Soo the operations are bypassed when any such condition all is met. 1. If a1Y 0 and a2 = 0 then result will be zero. = 2. If a1e= a2 and sign of a1  sign of a2 then result and a2 are different, the most-significant bit of S is 1, s will be again zero. and there was no carry out then S is negative. Replace S 3. If a1 = 0 and a2  0 then result will be equal to with its two’s complement. This can only happen when d = a2. 0. 4. If a2 = 0 and a1  0 then result will be equal to a1. 5. Shift S as follows. If the signs of a1 and a2 are 5. If d = |e1 – e2| > 24 then result will be equal to larger same and there was a carry out in step 4, shift S right by of a1 and a2. one, filling the high order position with one (the carry out). Otherwise shift it left until it is normalized. When left shifting, on the first shift fill in the low order position with VII.Hardware Approach the g bit. After that, shift in zeros. Adjust the exponent of the result accordingly. 6. Adjust r and s. If S was shifted right in step 5, set r: = low order bit of S before shifting and s: = g or r or s. If there was no shift, set r: = g, s: = r. If there was a single left shift, don’t change r and s. If there were two or more left shifts, set r: = 0, s: = 0. (In the last case, two or more shifts can only happen when a1 and a2 have opposite signs and the same exponent, in which case the computation s1 + s2 in step 4 will be exact.) 7. Round S using following rounding rules as in Table ; Rounding Sign of result 0 Sign of result <0 Mode - +1 if r  s + +1 if r  s 0 Nearest +1 if r  p0 or r +1 if r  p0 or r The block diagrams of the architecture used for combinational adder is shown above in If a table entry is non empty, adds1 to the low orders of S.  bit Figure 4, step by step from the lower abstract level to If rounding causes carry out, shift S right and adjust the the higher abstract level. 446 All Rights Reserved © 2012 IJARCET
  • 5. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 Input 2 = 00111111100101100110011001100110 B Unsigned Adder (for exponent (1.175 10) addition) Required Result = This unsigned adder is responsible for adding the 01000000100010010111000010100011 exponent of the first input to the exponent of the second (4.295 10) input and subtracting the Bias (127) from the addition result (i.e. A_exponent + B_exponent - Bias). The result of Obtained Result = this stage is called the intermediate exponent. The add 01000000100010010111000010100011 (4.295 10) operation is done on 8 bits, and there is no need for a quick result because most of the calculation time is spent in the significand multiplication process (multiplying 24 bits by 24 bits); thus we need a moderate exponent adder and a fast significand multiplier. An 8-bit ripple carry adder is used to add the two input exponents. As shown in Fig. 3 a ripple carry adder is a chain of cascaded full adders and one half adder; each full adder has three inputs (A, B, Ci) and two outputs (S, Co). The carry out (Co) of each adder is fed to the next full adder (i.e each carry bit "ripples" to the next full adder). Input 1 = 01000000100010000000000000000000 (4.25 10) Input 2 = 01000001010010000111101011100010 (12.53 10) Required Result = 01000001100001100011110101110000 (16.78 10) Obtained Result = 01000001100001100011110101110001 (16.78 10) Figure 5 : - ripple carry adder The addition process produces an 8 bit sum (S7 to S0) and a carry bit (Co,7). These bits are concatenated to form a 9 bit addition result (S8 to S0) from which the Bias is subtracted. The Bias is subtracted using an array of ripple borrow subtractors. FLOATING POINT SUBTRACTOR Input 1 = 01000001100001100011110101110000 A normal subtractor has three inputs (minuend(S), (16.78 10) subtrahend (T), Borrow out (Bo)).The subtractor logic can be Input 2 = 01000000100010000000000000000000 (4.25 optimized if one of its inputs is a constant value which is our 10) case, where the Bias is constant (127|10 = 001111111|2). Required Result = 01000001010010000111101011100010 (12.53 10) S T Bi Difference(R) Bo Obtained Result = 0 1 0 1 1 01000001010010000111101011100010 (12.53 10) 1 1 0 0 0 0 1 1 0 1 1 1 1 1 1 The above table shows one bit subtractor VIII.SIMULATION RESULTS FLOATING POINT ADDER Input 1 = 01000000010001111010111000010100 Input 1 = 01000001100101010110011001100110 (3.120 10) 447 All Rights Reserved © 2012 IJARCET
  • 6. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 (18.675 10) amount of function generators and registers used to perform Input 2 = 01000000100101010111000010100011 operations on the extra bits; also the speed of Xilinx core is (4.670 10) affected by the fact that it implements the round to nearest Required Result = rounding mode. 0100000101100000000101000111101 (14.005 10) Obtained Result = 0100000101100000000101000111101 (14.005 10) X. CONCLUSIONS AND FUTURE WORK This paper deals with development of a Floating Point adder and subtractor for ALU in VHDL and verilog with the help of ModelSim and synthesized with Xilinx tools. Simulation results of all the designed programs have been carried out for various inputs with the help of ModelSim tool. Both are available in single cycle and pipeline architectures and fully synthesizable with performance comparable to other available high speed implementations. The design is described as graphical schematics and VHDL code. This dual representation is very valuable as allows for easy navigation over all the IX.IMPLEMENTATION AND TESTING components of the units, which allows for a faster The whole adder (top unit) was tested against the understanding of their interrelationships and the Xilinx floating point adder core generated by Xilinx different aspects of a Floating Point operation. coregen. Xilinx core was customized to have two flags to indicate overflow and underflow, and to have a maximum References latency of three cycles. Xilinx core implements the “round to nearest” rounding mode. [1]M. F. Cowlishaw, “ Decimal floating-point: algorism for computers,” inProc.6th IEEE Symp. Computer A testbench is used to generate the stimulus and Arithmetic, 2003, pp. 104– 111. applies it to the implemented floating point adder and to the [2] E. M. Schwarz, J. S. Kapernick, and M. F. Xilinx core then compares the results. The floating point Cowlishaw,“ Decimal floating-point support on the IBM multiplier code was also checked using System z10 processor,” 2009, iBM Journal of DesignChecker [7]. DesignChecker is a linting tool Research and Development. which helps in filtering design issues like gated [3]IEEE Standard for Floating-Point Arithmetic,” pp. 1– 58, clocks, unused/undriven logic, and combinational loops. 2008, iEEE Std 754-2008. The design was synthesized using Precision synthesis [4] F. Y. Busaba, C. A. Krygowski, W. H. Li, E. M. Schwarz, tool [8] targeting Xilinx Virtex-5 ,5VFX200TFF1738 and S. R. Carlough, “ The IBM z900 decimal arithmetic with a timing constraint of 300MHz. Post synthesis and place unit,” in Proc. Conf Signals, S ystems and Computers and route simulations were made to ensure the design Record of the Thirty-Fifth Asilomar Conf, vol. 2, 2001, functionality after synthesis and place and route. shows the pp.1335– 1339. resources and frequency of the implemented floating [5] W. Haller, K. Ulrich, L. Thomas, and H. Wetter, “ Combined binary/decimal adder unit,” in International point multiplier and Xilinx core Business Machines Corporation (Armonk, NY), 1999. [6] G. Bohlender and T. Teufel, “ BAP-SC: A Clk Delay Mops Decimal Floating-Point Processors for Optimal Technology Cycles (GHz) (ns) / Arithmetic,” in Computerarithmetic: Scientific Itanium2 [18] 1. 21 156. se 6. Computation Xeon5100 [19] 4 3. 9 13 4 44. c 22.4 SW Xeon [18] 0 3. 3 24 3 77. 6 12. [7] J. Thompson, N. Karra, and M. J. Schulte, “ A 64- Pentium M [21] 2 1. 9 848 565.8 9 1. bit decimal floating-point adder,” in Proc. IEEE Power6 [22] 5 5. 1 33. 8 294. Computer 0 7 4 1 society Annual Symp. VLSI, 2004, pp. Z10 [23] 4. 1 2. 366. 297– 298. HW BID 65nm [10] 4 1. 3-2 7 10. 7 100. [8] M. S. Cohen, T. E. Hull, and V. C. Hamacher, BID Virtex 5 [9] 3 0.1 13 13- 0 109. 09. “ CADAC: A Controlled-Precision Decimal Arithmetic Proposed Virtex5 6 0. 188 840 1 200. Unit,” no. 4, pp.370– 377, 1983. 2 0 [9] A. Farmahini-Farahani, C. Tsen, and K. Compton, “ FPGA The area of Xilinx core is less than the implementation of a 64-Bit BID-based decimal floating- implemented floating point adder because the point adder/subtractor,” in Proc. Int. Conf. F latter doesn‟ t truncate/round the 48 bits ield- Programmable Technology FPT 2009, 2009, pp. result of the mantissa multiplier which is reflected in the 518– 521. 448 All Rights Reserved © 2012 IJARCET
  • 7. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 [10] C. Tsen, S. Gonzalez-Navarro, and M. Schulte, Design, 2007. ICCD2007. “ Hardware design of a Binary Integer Decimal-based [11] C. Minchola and G. Sutter, “ A FPGA IEEE-754-2008 floating-pointadder,” pp. 288– 295, 2007, computer Decimal64 Floating-Point 449 All Rights Reserved © 2012 IJARCET