SlideShare una empresa de Scribd logo
1 de 35
   Routing
   Routing Problem
   Routing Regions
   Types of Routing
    -Global Routing
    -Detailed Routing
   Conclusion
   References
o   The routing is to locate a set of wires in the routing space that
    connect all the nets in the net list. The capacities of channels,
    width of wires, and wire crossings often need to be taken into
    consideration .
   Apply after placement
   Input:
      Netlist

      Timing budget for, typically, critical nets

      Locations of blocks and locations of pins

   Output:
      Geometric layouts of all nets

   Objective:
      Minimize the total wire length, the number of vias, or just

       completing all connections without increasing the chip
       area.
      Each net meets its timing budget.
   For a multi-terminal net, we can construct a spanning
    tree to connect all the terminals together.
   But the wire length will be large.
   Better use Steiner Tree:
                                                            Steiner
       A tree connecting all terminals and some              Node
       additional nodes (Steiner nodes).
   Rectilinear Steiner Tree:

       Steiner tree in which all the edges run
       horizontally and vertically.
   Minimum Steiner Tree Problem:
       Given a net, find the steiner tree with the minimum
        length.
       This problem is NP-Complete!
   May need to route tens of thousands of nets simultaneously
    without overlapping.
   Obstacles may exist in the routing region.
Two phases:
Divide the routing area into routing regions of simple shape

(rectangular):                                Switchbox

      Channel



•   Channel: Pins on 2 opposite sides.

•   2-D Switchbox: Pins on 4 sides.

•   3-D Switchbox: Pins on all 6 sides.
Gate-Array   Standard-Cell   Full-Custom




                  Feedthrough Cell
Routing




                                           Detailed
      Global routing                        routing




                                      Channel         Switch Box
Line Routing     Maze Routing
                                      Routing           Routing
Placement
                                       Global routing

Generate a 'loose' route for each net
Assign a list of routing region to each net
without specifying the actual layout of
wires.

                                        Detailed routing

Find the actual geometry layout of each net
   with in the assigned routing regions




               Compaction
o   Minimize the total overflow
o   Minimize the total wire length
o   Minimize running time
Assign routing regions to each net. Need to consider timing
budget of nets and routing congestion of the regions.
Assign pins on routing region boundaries for each net.
(Prepare for the detailed routing stage for each region.)
Sequential Approach:
      Route the nets one at a time.
      Order dependent on factors like criticality, estimated wire
       length, etc.
      If further routing is impossible because some nets are
       blocked by nets routed earlier, apply Rip-up and Reroute
       technique.
      This approach is much more popular.
Concurrent Approach:
      The major drawback of the sequential approach is
       that it suffers from the net ordering problem.
      Consider all nets simultaneously.
      Can be formulated as an integer program.
   Given:
       A planar rectangular grid graph.
       Two points S and T on the graph.
       Obstacles modeled as blocked vertices.
   Objective:
       Find the shortest path connecting S and T.
   This technique can be used in global or detailed routing
    (switchbox) problems.
S              S
                              S 

         T     X             X    
                      T
               X             X       T

Area Routing   Grid Graph     Simplified
                (Maze)      Representation
   Three types of detailed routing methods:
    •   Channel Routing
    •   2-D Switchbox Routing
    •   3-D Switchbox Routing
   Channel routing → 2-D switchbox → 3-D switchbox
   If the switchbox or channels are unroutable without a large
    expansion, global routing needs to be done again.
o   Channel routing:
    o   channel may grow in one dimension to accommodate wires;
    o   pins generally on only two opposite sides.
o   Switchbox routing:
    o   Switch box routing is harder than channel routing because we
        can’t expand the switchbox to make room for more wires.
    o   pins are on all four sides, fixing dimensions of the box.
channel   switchbox   switchbox
                      pins




           channel
Three types of channel junctions may occur:
o   L-type: Occurs at the corners of the layout surface. Can be
    routed using channel routers.
o   T-type: The leg of the “T” must be routed before the shoulder.
    Can be routed using channel routers.
o   +-type: More complex and requires switchbox routers.
    Advantageous to convert +-junctions to T-junctions.
   Channel routing is a special case of the routing problem in
    which wires are connected within the routing channels.
   To apply channel routing, a routing region is usually
    decomposed into routing channels.
a)     Channels have no conflicts
b)     Conflicting channels
c)     Conflict resolved using L-shaped channels
     •   Order matters
d)     Switchbox used to resolve the conflict
     •   Order matters
     •   Harder problem (compared to channel routing)
   After global routing and detailed routing, information of the
    nets can be extracted and delays can be analyzed.
   If some nets fail to meet their timing budget, detailed routing
    and/or global routing needs to be repeated.
 NTHU – Route
 MaizeRouter

 BoxRouter

 Archer

 FastRoute

 NTUgr

 FASHION
   Routing is one of the most fundamental steps in the physical
    design flow and is typically a very complex optimization
    problem.
   Effective and efficient routing algorithms are essential to handle
    the challenges arising from the fast growing scaling of IC
    integration.
   We have discussed Global and Detailed routing techniques.
   Routers will keep evolving with emerging design challenges such
    as nanometer effects, signal integrity, reliability etc.
                      33
   “Global and detailed routing”, Huang-Yu Chen and Yao-Wen Chang,
    National Taiwan University, Taipei, Taiwan,
   “VLSI Layout synthesis”, Local search in Combinatorial Optimization,
    Emile H.L. Aarts, Philips Research Laboratories, Eindhoven.
   Michael D. Moffitt, IBM Research “Global routing revisited”. Computer-
    Aided Design - Digest of Technical Papers, 2009. ICCAD 2009.
    IEEE/ACM International Conference , Pages: 805 - 808
35

Más contenido relacionado

La actualidad más candente

Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
I World Tech
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layout
E ER Yash nagaria
 

La actualidad más candente (20)

Latch up
Latch upLatch up
Latch up
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
Physical design
Physical design Physical design
Physical design
 
Basics of vlsi
Basics of vlsiBasics of vlsi
Basics of vlsi
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Fan-in and Fan-out.ppt
Fan-in and Fan-out.pptFan-in and Fan-out.ppt
Fan-in and Fan-out.ppt
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
 
Asic design
Asic designAsic design
Asic design
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFET
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layout
 
MOSFET and Short channel effects
MOSFET and Short channel effectsMOSFET and Short channel effects
MOSFET and Short channel effects
 
Mos transistor
Mos transistorMos transistor
Mos transistor
 

Similar a VLSI routing

minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
Chandrajit Pal
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsi
subhradeep mitra
 
24-ad-hoc.ppt
24-ad-hoc.ppt24-ad-hoc.ppt
24-ad-hoc.ppt
sumadi26
 
Parallel computing chapter 2
Parallel computing chapter 2Parallel computing chapter 2
Parallel computing chapter 2
Md. Mahedi Mahfuj
 
Introduction to mobile ad hoc network (m.a.net)
Introduction to mobile ad hoc network (m.a.net)Introduction to mobile ad hoc network (m.a.net)
Introduction to mobile ad hoc network (m.a.net)
Sohebuzzaman Khan
 

Similar a VLSI routing (20)

Routing.ppt
Routing.pptRouting.ppt
Routing.ppt
 
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platforms
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
Floor planning ppt
Floor planning pptFloor planning ppt
Floor planning ppt
 
Channel routing
Channel routingChannel routing
Channel routing
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsi
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routing
 
Network layer
Network layerNetwork layer
Network layer
 
Network
NetworkNetwork
Network
 
L22.ppt
L22.pptL22.ppt
L22.ppt
 
Synchronous optical networking (SONET)
Synchronous optical networking (SONET) Synchronous optical networking (SONET)
Synchronous optical networking (SONET)
 
24-ad-hoc.ppt
24-ad-hoc.ppt24-ad-hoc.ppt
24-ad-hoc.ppt
 
Routing Presentation
Routing PresentationRouting Presentation
Routing Presentation
 
Taiwan course
Taiwan courseTaiwan course
Taiwan course
 
Radio Resource Management for Millimeter Wave & Massive MIMO
Radio Resource Management for Millimeter Wave & Massive MIMORadio Resource Management for Millimeter Wave & Massive MIMO
Radio Resource Management for Millimeter Wave & Massive MIMO
 
Parallel computing chapter 2
Parallel computing chapter 2Parallel computing chapter 2
Parallel computing chapter 2
 
system interconnect architectures in ACA
system interconnect architectures in ACAsystem interconnect architectures in ACA
system interconnect architectures in ACA
 
Introduction to mobile ad hoc network (m.a.net)
Introduction to mobile ad hoc network (m.a.net)Introduction to mobile ad hoc network (m.a.net)
Introduction to mobile ad hoc network (m.a.net)
 
09 placement
09 placement09 placement
09 placement
 

Más de Naveen Kumar

Más de Naveen Kumar (20)

Security in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) NetworksSecurity in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) Networks
 
Mobile tower radiation
Mobile tower radiationMobile tower radiation
Mobile tower radiation
 
Mobile security
Mobile securityMobile security
Mobile security
 
Ph.D Research proposal
Ph.D Research proposalPh.D Research proposal
Ph.D Research proposal
 
Wi-Fi Technology
Wi-Fi TechnologyWi-Fi Technology
Wi-Fi Technology
 
Cell Phone Antennas
Cell Phone AntennasCell Phone Antennas
Cell Phone Antennas
 
Thesis on PIFA
Thesis on PIFAThesis on PIFA
Thesis on PIFA
 
Electronics Quiz
Electronics QuizElectronics Quiz
Electronics Quiz
 
VHDL coding in Xilinx
VHDL coding in XilinxVHDL coding in Xilinx
VHDL coding in Xilinx
 
Optimization in HFSS
Optimization in HFSSOptimization in HFSS
Optimization in HFSS
 
Free space optical communication
Free space optical communicationFree space optical communication
Free space optical communication
 
A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane
 
Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices
 
A novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsetsA novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsets
 
A compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground planeA compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground plane
 
Secure Socket Layer
Secure Socket LayerSecure Socket Layer
Secure Socket Layer
 
Adaptive Resonance Theory
Adaptive Resonance TheoryAdaptive Resonance Theory
Adaptive Resonance Theory
 
UART
UARTUART
UART
 
HDLC, PPP and SLIP
HDLC, PPP and SLIPHDLC, PPP and SLIP
HDLC, PPP and SLIP
 
AR model
AR modelAR model
AR model
 

Último

CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
giselly40
 

Último (20)

Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 

VLSI routing

  • 1.
  • 2. Routing  Routing Problem  Routing Regions  Types of Routing -Global Routing -Detailed Routing  Conclusion  References
  • 3. o The routing is to locate a set of wires in the routing space that connect all the nets in the net list. The capacities of channels, width of wires, and wire crossings often need to be taken into consideration .
  • 4. Apply after placement  Input:  Netlist  Timing budget for, typically, critical nets  Locations of blocks and locations of pins  Output:  Geometric layouts of all nets  Objective:  Minimize the total wire length, the number of vias, or just completing all connections without increasing the chip area.  Each net meets its timing budget.
  • 5. For a multi-terminal net, we can construct a spanning tree to connect all the terminals together.  But the wire length will be large.  Better use Steiner Tree: Steiner A tree connecting all terminals and some Node additional nodes (Steiner nodes).  Rectilinear Steiner Tree: Steiner tree in which all the edges run horizontally and vertically.
  • 6. Minimum Steiner Tree Problem:  Given a net, find the steiner tree with the minimum length.  This problem is NP-Complete!  May need to route tens of thousands of nets simultaneously without overlapping.  Obstacles may exist in the routing region.
  • 8. Divide the routing area into routing regions of simple shape (rectangular): Switchbox Channel • Channel: Pins on 2 opposite sides. • 2-D Switchbox: Pins on 4 sides. • 3-D Switchbox: Pins on all 6 sides.
  • 9.
  • 10. Gate-Array Standard-Cell Full-Custom Feedthrough Cell
  • 11. Routing Detailed Global routing routing Channel Switch Box Line Routing Maze Routing Routing Routing
  • 12. Placement Global routing Generate a 'loose' route for each net Assign a list of routing region to each net without specifying the actual layout of wires. Detailed routing Find the actual geometry layout of each net with in the assigned routing regions Compaction
  • 13.
  • 14. o Minimize the total overflow o Minimize the total wire length o Minimize running time
  • 15. Assign routing regions to each net. Need to consider timing budget of nets and routing congestion of the regions.
  • 16. Assign pins on routing region boundaries for each net. (Prepare for the detailed routing stage for each region.)
  • 17. Sequential Approach:  Route the nets one at a time.  Order dependent on factors like criticality, estimated wire length, etc.  If further routing is impossible because some nets are blocked by nets routed earlier, apply Rip-up and Reroute technique.  This approach is much more popular.
  • 18. Concurrent Approach:  The major drawback of the sequential approach is that it suffers from the net ordering problem.  Consider all nets simultaneously.  Can be formulated as an integer program.
  • 19.
  • 20. Given:  A planar rectangular grid graph.  Two points S and T on the graph.  Obstacles modeled as blocked vertices.  Objective:  Find the shortest path connecting S and T.  This technique can be used in global or detailed routing (switchbox) problems.
  • 21. S S S  T X X  T X X  T Area Routing Grid Graph Simplified (Maze) Representation
  • 22.
  • 23.
  • 24. Three types of detailed routing methods: • Channel Routing • 2-D Switchbox Routing • 3-D Switchbox Routing  Channel routing → 2-D switchbox → 3-D switchbox  If the switchbox or channels are unroutable without a large expansion, global routing needs to be done again.
  • 25. o Channel routing: o channel may grow in one dimension to accommodate wires; o pins generally on only two opposite sides. o Switchbox routing: o Switch box routing is harder than channel routing because we can’t expand the switchbox to make room for more wires. o pins are on all four sides, fixing dimensions of the box.
  • 26. channel switchbox switchbox pins channel
  • 27. Three types of channel junctions may occur: o L-type: Occurs at the corners of the layout surface. Can be routed using channel routers. o T-type: The leg of the “T” must be routed before the shoulder. Can be routed using channel routers. o +-type: More complex and requires switchbox routers. Advantageous to convert +-junctions to T-junctions.
  • 28.
  • 29. Channel routing is a special case of the routing problem in which wires are connected within the routing channels.  To apply channel routing, a routing region is usually decomposed into routing channels.
  • 30. a) Channels have no conflicts b) Conflicting channels c) Conflict resolved using L-shaped channels • Order matters d) Switchbox used to resolve the conflict • Order matters • Harder problem (compared to channel routing)
  • 31. After global routing and detailed routing, information of the nets can be extracted and delays can be analyzed.  If some nets fail to meet their timing budget, detailed routing and/or global routing needs to be repeated.
  • 32.  NTHU – Route  MaizeRouter  BoxRouter  Archer  FastRoute  NTUgr  FASHION
  • 33. Routing is one of the most fundamental steps in the physical design flow and is typically a very complex optimization problem.  Effective and efficient routing algorithms are essential to handle the challenges arising from the fast growing scaling of IC integration.  We have discussed Global and Detailed routing techniques.  Routers will keep evolving with emerging design challenges such as nanometer effects, signal integrity, reliability etc. 33
  • 34. “Global and detailed routing”, Huang-Yu Chen and Yao-Wen Chang, National Taiwan University, Taipei, Taiwan,  “VLSI Layout synthesis”, Local search in Combinatorial Optimization, Emile H.L. Aarts, Philips Research Laboratories, Eindhoven.  Michael D. Moffitt, IBM Research “Global routing revisited”. Computer- Aided Design - Digest of Technical Papers, 2009. ICCAD 2009. IEEE/ACM International Conference , Pages: 805 - 808
  • 35. 35