SlideShare una empresa de Scribd logo
1 de 35
   Routing
   Routing Problem
   Routing Regions
   Types of Routing
    -Global Routing
    -Detailed Routing
   Conclusion
   References
o   The routing is to locate a set of wires in the routing space that
    connect all the nets in the net list. The capacities of channels,
    width of wires, and wire crossings often need to be taken into
    consideration .
   Apply after placement
   Input:
      Netlist

      Timing budget for, typically, critical nets

      Locations of blocks and locations of pins

   Output:
      Geometric layouts of all nets

   Objective:
      Minimize the total wire length, the number of vias, or just

       completing all connections without increasing the chip
       area.
      Each net meets its timing budget.
   For a multi-terminal net, we can construct a spanning
    tree to connect all the terminals together.
   But the wire length will be large.
   Better use Steiner Tree:
                                                            Steiner
       A tree connecting all terminals and some              Node
       additional nodes (Steiner nodes).
   Rectilinear Steiner Tree:

       Steiner tree in which all the edges run
       horizontally and vertically.
   Minimum Steiner Tree Problem:
       Given a net, find the steiner tree with the minimum
        length.
       This problem is NP-Complete!
   May need to route tens of thousands of nets simultaneously
    without overlapping.
   Obstacles may exist in the routing region.
Two phases:
Divide the routing area into routing regions of simple shape

(rectangular):                                Switchbox

      Channel



•   Channel: Pins on 2 opposite sides.

•   2-D Switchbox: Pins on 4 sides.

•   3-D Switchbox: Pins on all 6 sides.
Gate-Array   Standard-Cell   Full-Custom




                  Feedthrough Cell
Routing




                                           Detailed
      Global routing                        routing




                                      Channel         Switch Box
Line Routing     Maze Routing
                                      Routing           Routing
Placement
                                       Global routing

Generate a 'loose' route for each net
Assign a list of routing region to each net
without specifying the actual layout of
wires.

                                        Detailed routing

Find the actual geometry layout of each net
   with in the assigned routing regions




               Compaction
o   Minimize the total overflow
o   Minimize the total wire length
o   Minimize running time
Assign routing regions to each net. Need to consider timing
budget of nets and routing congestion of the regions.
Assign pins on routing region boundaries for each net.
(Prepare for the detailed routing stage for each region.)
Sequential Approach:
      Route the nets one at a time.
      Order dependent on factors like criticality, estimated wire
       length, etc.
      If further routing is impossible because some nets are
       blocked by nets routed earlier, apply Rip-up and Reroute
       technique.
      This approach is much more popular.
Concurrent Approach:
      The major drawback of the sequential approach is
       that it suffers from the net ordering problem.
      Consider all nets simultaneously.
      Can be formulated as an integer program.
   Given:
       A planar rectangular grid graph.
       Two points S and T on the graph.
       Obstacles modeled as blocked vertices.
   Objective:
       Find the shortest path connecting S and T.
   This technique can be used in global or detailed routing
    (switchbox) problems.
S              S
                              S 

         T     X             X    
                      T
               X             X       T

Area Routing   Grid Graph     Simplified
                (Maze)      Representation
   Three types of detailed routing methods:
    •   Channel Routing
    •   2-D Switchbox Routing
    •   3-D Switchbox Routing
   Channel routing → 2-D switchbox → 3-D switchbox
   If the switchbox or channels are unroutable without a large
    expansion, global routing needs to be done again.
o   Channel routing:
    o   channel may grow in one dimension to accommodate wires;
    o   pins generally on only two opposite sides.
o   Switchbox routing:
    o   Switch box routing is harder than channel routing because we
        can’t expand the switchbox to make room for more wires.
    o   pins are on all four sides, fixing dimensions of the box.
channel   switchbox   switchbox
                      pins




           channel
Three types of channel junctions may occur:
o   L-type: Occurs at the corners of the layout surface. Can be
    routed using channel routers.
o   T-type: The leg of the “T” must be routed before the shoulder.
    Can be routed using channel routers.
o   +-type: More complex and requires switchbox routers.
    Advantageous to convert +-junctions to T-junctions.
   Channel routing is a special case of the routing problem in
    which wires are connected within the routing channels.
   To apply channel routing, a routing region is usually
    decomposed into routing channels.
a)     Channels have no conflicts
b)     Conflicting channels
c)     Conflict resolved using L-shaped channels
     •   Order matters
d)     Switchbox used to resolve the conflict
     •   Order matters
     •   Harder problem (compared to channel routing)
   After global routing and detailed routing, information of the
    nets can be extracted and delays can be analyzed.
   If some nets fail to meet their timing budget, detailed routing
    and/or global routing needs to be repeated.
 NTHU – Route
 MaizeRouter

 BoxRouter

 Archer

 FastRoute

 NTUgr

 FASHION
   Routing is one of the most fundamental steps in the physical
    design flow and is typically a very complex optimization
    problem.
   Effective and efficient routing algorithms are essential to handle
    the challenges arising from the fast growing scaling of IC
    integration.
   We have discussed Global and Detailed routing techniques.
   Routers will keep evolving with emerging design challenges such
    as nanometer effects, signal integrity, reliability etc.
                      33
   “Global and detailed routing”, Huang-Yu Chen and Yao-Wen Chang,
    National Taiwan University, Taipei, Taiwan,
   “VLSI Layout synthesis”, Local search in Combinatorial Optimization,
    Emile H.L. Aarts, Philips Research Laboratories, Eindhoven.
   Michael D. Moffitt, IBM Research “Global routing revisited”. Computer-
    Aided Design - Digest of Technical Papers, 2009. ICCAD 2009.
    IEEE/ACM International Conference , Pages: 805 - 808
35

Más contenido relacionado

La actualidad más candente

Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor LogicDiwaker Pant
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingChandrajit Pal
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design pptAnil Yadav
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design MethodologiesKeshav
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 

La actualidad más candente (20)

Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
Eco
EcoEco
Eco
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Cmos design rule
Cmos design ruleCmos design rule
Cmos design rule
 
CMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURESCMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURES
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
 
Power Gating
Power GatingPower Gating
Power Gating
 
Physical design
Physical design Physical design
Physical design
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Routing.ppt
Routing.pptRouting.ppt
Routing.ppt
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design Methodologies
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Vlsi stick daigram (JCE)
Vlsi stick daigram (JCE)Vlsi stick daigram (JCE)
Vlsi stick daigram (JCE)
 

Similar a Routing Techniques Explained: Global, Detailed and Channel Routing

COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1aishwaryaarrao3
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platformsSyed Zaid Irshad
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsisubhradeep mitra
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routingfreeloadtailieu
 
L22.ppt
L22.pptL22.ppt
L22.pptraaed5
 
24-ad-hoc.ppt
24-ad-hoc.ppt24-ad-hoc.ppt
24-ad-hoc.pptsumadi26
 
Routing Presentation
Routing PresentationRouting Presentation
Routing PresentationMohsin Ali
 
Radio Resource Management for Millimeter Wave & Massive MIMO
Radio Resource Management for Millimeter Wave & Massive MIMORadio Resource Management for Millimeter Wave & Massive MIMO
Radio Resource Management for Millimeter Wave & Massive MIMOEduardo Castañeda
 
Parallel computing chapter 2
Parallel computing chapter 2Parallel computing chapter 2
Parallel computing chapter 2Md. Mahedi Mahfuj
 
system interconnect architectures in ACA
system interconnect architectures in ACAsystem interconnect architectures in ACA
system interconnect architectures in ACAPankaj Kumar Jain
 
Introduction to mobile ad hoc network (m.a.net)
Introduction to mobile ad hoc network (m.a.net)Introduction to mobile ad hoc network (m.a.net)
Introduction to mobile ad hoc network (m.a.net)Sohebuzzaman Khan
 
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Hemant Jha
 

Similar a Routing Techniques Explained: Global, Detailed and Channel Routing (20)

COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platforms
 
Floor planning ppt
Floor planning pptFloor planning ppt
Floor planning ppt
 
Channel routing
Channel routingChannel routing
Channel routing
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsi
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routing
 
Network layer
Network layerNetwork layer
Network layer
 
Network
NetworkNetwork
Network
 
L22.ppt
L22.pptL22.ppt
L22.ppt
 
Synchronous optical networking (SONET)
Synchronous optical networking (SONET) Synchronous optical networking (SONET)
Synchronous optical networking (SONET)
 
24-ad-hoc.ppt
24-ad-hoc.ppt24-ad-hoc.ppt
24-ad-hoc.ppt
 
Routing Presentation
Routing PresentationRouting Presentation
Routing Presentation
 
Taiwan course
Taiwan courseTaiwan course
Taiwan course
 
Radio Resource Management for Millimeter Wave & Massive MIMO
Radio Resource Management for Millimeter Wave & Massive MIMORadio Resource Management for Millimeter Wave & Massive MIMO
Radio Resource Management for Millimeter Wave & Massive MIMO
 
Parallel computing chapter 2
Parallel computing chapter 2Parallel computing chapter 2
Parallel computing chapter 2
 
system interconnect architectures in ACA
system interconnect architectures in ACAsystem interconnect architectures in ACA
system interconnect architectures in ACA
 
Introduction to mobile ad hoc network (m.a.net)
Introduction to mobile ad hoc network (m.a.net)Introduction to mobile ad hoc network (m.a.net)
Introduction to mobile ad hoc network (m.a.net)
 
09 placement
09 placement09 placement
09 placement
 
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
 
WC-UNIT NOTES.pdf
WC-UNIT NOTES.pdfWC-UNIT NOTES.pdf
WC-UNIT NOTES.pdf
 

Más de Naveen Kumar

Security in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) NetworksSecurity in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) NetworksNaveen Kumar
 
Mobile tower radiation
Mobile tower radiationMobile tower radiation
Mobile tower radiationNaveen Kumar
 
Ph.D Research proposal
Ph.D Research proposalPh.D Research proposal
Ph.D Research proposalNaveen Kumar
 
Cell Phone Antennas
Cell Phone AntennasCell Phone Antennas
Cell Phone AntennasNaveen Kumar
 
VHDL coding in Xilinx
VHDL coding in XilinxVHDL coding in Xilinx
VHDL coding in XilinxNaveen Kumar
 
Optimization in HFSS
Optimization in HFSSOptimization in HFSS
Optimization in HFSSNaveen Kumar
 
Free space optical communication
Free space optical communicationFree space optical communication
Free space optical communicationNaveen Kumar
 
A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane Naveen Kumar
 
Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices Naveen Kumar
 
A novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsetsA novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsetsNaveen Kumar
 
A compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground planeA compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground planeNaveen Kumar
 
Secure Socket Layer
Secure Socket LayerSecure Socket Layer
Secure Socket LayerNaveen Kumar
 
Adaptive Resonance Theory
Adaptive Resonance TheoryAdaptive Resonance Theory
Adaptive Resonance TheoryNaveen Kumar
 
HDLC, PPP and SLIP
HDLC, PPP and SLIPHDLC, PPP and SLIP
HDLC, PPP and SLIPNaveen Kumar
 

Más de Naveen Kumar (20)

Security in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) NetworksSecurity in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) Networks
 
Mobile tower radiation
Mobile tower radiationMobile tower radiation
Mobile tower radiation
 
Mobile security
Mobile securityMobile security
Mobile security
 
Ph.D Research proposal
Ph.D Research proposalPh.D Research proposal
Ph.D Research proposal
 
Wi-Fi Technology
Wi-Fi TechnologyWi-Fi Technology
Wi-Fi Technology
 
Cell Phone Antennas
Cell Phone AntennasCell Phone Antennas
Cell Phone Antennas
 
Thesis on PIFA
Thesis on PIFAThesis on PIFA
Thesis on PIFA
 
Electronics Quiz
Electronics QuizElectronics Quiz
Electronics Quiz
 
VHDL coding in Xilinx
VHDL coding in XilinxVHDL coding in Xilinx
VHDL coding in Xilinx
 
Optimization in HFSS
Optimization in HFSSOptimization in HFSS
Optimization in HFSS
 
Free space optical communication
Free space optical communicationFree space optical communication
Free space optical communication
 
A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane
 
Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices
 
A novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsetsA novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsets
 
A compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground planeA compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground plane
 
Secure Socket Layer
Secure Socket LayerSecure Socket Layer
Secure Socket Layer
 
Adaptive Resonance Theory
Adaptive Resonance TheoryAdaptive Resonance Theory
Adaptive Resonance Theory
 
UART
UARTUART
UART
 
HDLC, PPP and SLIP
HDLC, PPP and SLIPHDLC, PPP and SLIP
HDLC, PPP and SLIP
 
AR model
AR modelAR model
AR model
 

Último

Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
The Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfThe Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfSeasiaInfotech2
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 

Último (20)

Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
The Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfThe Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdf
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 

Routing Techniques Explained: Global, Detailed and Channel Routing

  • 1.
  • 2. Routing  Routing Problem  Routing Regions  Types of Routing -Global Routing -Detailed Routing  Conclusion  References
  • 3. o The routing is to locate a set of wires in the routing space that connect all the nets in the net list. The capacities of channels, width of wires, and wire crossings often need to be taken into consideration .
  • 4. Apply after placement  Input:  Netlist  Timing budget for, typically, critical nets  Locations of blocks and locations of pins  Output:  Geometric layouts of all nets  Objective:  Minimize the total wire length, the number of vias, or just completing all connections without increasing the chip area.  Each net meets its timing budget.
  • 5. For a multi-terminal net, we can construct a spanning tree to connect all the terminals together.  But the wire length will be large.  Better use Steiner Tree: Steiner A tree connecting all terminals and some Node additional nodes (Steiner nodes).  Rectilinear Steiner Tree: Steiner tree in which all the edges run horizontally and vertically.
  • 6. Minimum Steiner Tree Problem:  Given a net, find the steiner tree with the minimum length.  This problem is NP-Complete!  May need to route tens of thousands of nets simultaneously without overlapping.  Obstacles may exist in the routing region.
  • 8. Divide the routing area into routing regions of simple shape (rectangular): Switchbox Channel • Channel: Pins on 2 opposite sides. • 2-D Switchbox: Pins on 4 sides. • 3-D Switchbox: Pins on all 6 sides.
  • 9.
  • 10. Gate-Array Standard-Cell Full-Custom Feedthrough Cell
  • 11. Routing Detailed Global routing routing Channel Switch Box Line Routing Maze Routing Routing Routing
  • 12. Placement Global routing Generate a 'loose' route for each net Assign a list of routing region to each net without specifying the actual layout of wires. Detailed routing Find the actual geometry layout of each net with in the assigned routing regions Compaction
  • 13.
  • 14. o Minimize the total overflow o Minimize the total wire length o Minimize running time
  • 15. Assign routing regions to each net. Need to consider timing budget of nets and routing congestion of the regions.
  • 16. Assign pins on routing region boundaries for each net. (Prepare for the detailed routing stage for each region.)
  • 17. Sequential Approach:  Route the nets one at a time.  Order dependent on factors like criticality, estimated wire length, etc.  If further routing is impossible because some nets are blocked by nets routed earlier, apply Rip-up and Reroute technique.  This approach is much more popular.
  • 18. Concurrent Approach:  The major drawback of the sequential approach is that it suffers from the net ordering problem.  Consider all nets simultaneously.  Can be formulated as an integer program.
  • 19.
  • 20. Given:  A planar rectangular grid graph.  Two points S and T on the graph.  Obstacles modeled as blocked vertices.  Objective:  Find the shortest path connecting S and T.  This technique can be used in global or detailed routing (switchbox) problems.
  • 21. S S S  T X X  T X X  T Area Routing Grid Graph Simplified (Maze) Representation
  • 22.
  • 23.
  • 24. Three types of detailed routing methods: • Channel Routing • 2-D Switchbox Routing • 3-D Switchbox Routing  Channel routing → 2-D switchbox → 3-D switchbox  If the switchbox or channels are unroutable without a large expansion, global routing needs to be done again.
  • 25. o Channel routing: o channel may grow in one dimension to accommodate wires; o pins generally on only two opposite sides. o Switchbox routing: o Switch box routing is harder than channel routing because we can’t expand the switchbox to make room for more wires. o pins are on all four sides, fixing dimensions of the box.
  • 26. channel switchbox switchbox pins channel
  • 27. Three types of channel junctions may occur: o L-type: Occurs at the corners of the layout surface. Can be routed using channel routers. o T-type: The leg of the “T” must be routed before the shoulder. Can be routed using channel routers. o +-type: More complex and requires switchbox routers. Advantageous to convert +-junctions to T-junctions.
  • 28.
  • 29. Channel routing is a special case of the routing problem in which wires are connected within the routing channels.  To apply channel routing, a routing region is usually decomposed into routing channels.
  • 30. a) Channels have no conflicts b) Conflicting channels c) Conflict resolved using L-shaped channels • Order matters d) Switchbox used to resolve the conflict • Order matters • Harder problem (compared to channel routing)
  • 31. After global routing and detailed routing, information of the nets can be extracted and delays can be analyzed.  If some nets fail to meet their timing budget, detailed routing and/or global routing needs to be repeated.
  • 32.  NTHU – Route  MaizeRouter  BoxRouter  Archer  FastRoute  NTUgr  FASHION
  • 33. Routing is one of the most fundamental steps in the physical design flow and is typically a very complex optimization problem.  Effective and efficient routing algorithms are essential to handle the challenges arising from the fast growing scaling of IC integration.  We have discussed Global and Detailed routing techniques.  Routers will keep evolving with emerging design challenges such as nanometer effects, signal integrity, reliability etc. 33
  • 34. “Global and detailed routing”, Huang-Yu Chen and Yao-Wen Chang, National Taiwan University, Taipei, Taiwan,  “VLSI Layout synthesis”, Local search in Combinatorial Optimization, Emile H.L. Aarts, Philips Research Laboratories, Eindhoven.  Michael D. Moffitt, IBM Research “Global routing revisited”. Computer- Aided Design - Digest of Technical Papers, 2009. ICCAD 2009. IEEE/ACM International Conference , Pages: 805 - 808
  • 35. 35