SlideShare una empresa de Scribd logo
1 de 38
FPGA
Configuration
Majed Roohani
Amirali Sharifian
1
Spring-2013
Introduction
 What is configuration?
 Process for loading data into the FPGA
Configuration
Data
Source
Configuration
Data
Source
FPGAFPGA
Control
Logic
(optional)
Control
Logic
(optional)
2
Introduction
 When does configuration happen?
 On power-up
 On demand
 Why do FPGAs need to be configured?
 FPGA configuration memory is volatile
 What do I need to know about FPGA configuration?
 What happens during configuration
 How to set up various configuration modes and daisy-chains
 How to troubleshoot problems
3
FPGA Configuration Process
 In order to understand the configuration
process, you need to know a little about:
 Configuration modes
 Configuration pins
4
Configuration Modes
 Configuration modes define the specifics of how
the FPGA will interact with:
 The data source
 External control logic (if any)
 Many configuration modes to choose from
 Serial modes (Master and Slave)
 SelectMAP mode (Slave Parallel)
 Boundary scan mode (Slave) - always available
 Other Xilinx FPGA families have more configuration modes
5
Configuration Modes
 Configuration pins (M0, M1, M2))
Note:
6
Configuration Modes:
Serial Modes
 Data is loaded 1 bit per CCLK
 Master serial
 FPGA drives configuration clock
(CCLK)
 FPGA provides all control logic
 Slave serial
 External control logic required to
generate CCLK
 Microprocessor
 Xilinx serial download cable
 Another FPGA
Serial
Data
Serial
Data FPGAFPGA
CCLK
Data
Serial
Data
Serial
Data FPGAFPGA
Control
Logic
Control
Logic
Data
CCLK
7
Configuration Modes:
SelectMAP Mode
 CCLK is driven by
external logic
 Data is loaded 1 byte
per CCLK
Byte-Wide
Data
Byte-Wide
Data FPGAFPGA
Control
Logic
Control
Logic
Data
CCLKControl Signals
Presentation
Name 8
8
Configuration Modes:
Boundary Scan Mode
 External control logic required
 Control signals and data are
presented on the boundary
scan pins (TDI, TMS, TCK)
 Data is loaded 1 bit per TCK
 Always available
(independently on
M0,M1,M2)
Serial
Data
Serial
Data FPGAFPGA
Control
Logic
Control
Logic
Data
Control Signals
9
Configuration Pins
 Specific pins on the FPGA are used during
configuration
 Some pins act differently depending on
configuration mode
 Example: CCLK is an output in some modes
and an input in others
 Some pins are only used in specific configuration
modes
 Example: CCLK is not used for Boundary Scan
mode
10
Configuration Pin Descriptions
 Mode Pins (M0, M1, M2)
 Input pins that select which configuration
mode is being used
 PROGRAM
 Active low input that initiates configuration
 CCLK (Configuration Clock)
 Input or output, depending on configuration
mode
 Frequency up to 10MHz (see Data Book for
your device family)
 DIN
 Serial input for configuration data
11
Configuration Pin Descriptions
 DOUT
 Output to next device in a daisy-chain
 Used in daisy-chains only
 INIT
 Open-drain bi-directional pin
 Error and Power Stabilization Flag
 DONE
 Open-drain bi-directional pin
 Indicates completion of configuration process
 Other pins are used for specific configuration
modes
 (i.e. JTAG Pins)
12
Configuration Process
 Four major phases in the process:
 Configuration memory clear
 Initialization
 Load configuration data
 Start-up
13
Configurati
on Memory
Clear
Configurati
on Memory
Clear
InitializationInitialization
Load
Configurati
on Data
Load
Configurati
on Data
Start-UpStart-Up
Configuration Process
Configuration Memory Clear Phase
 2 Way to configure
 Non-configuration I/O pins are
disabled with optional pull-up
resistors
 INIT and DONE pins are driven low
 FPGA memory is cleared
 PROGRAM is checked after each
memory pass
 Proceed to initialization
14
Configuration at Power-
Up
Vcc AND Vccnt
High?
No
FPGA
Drives INIT and
DONE low
Configuration During
User Operation
User Pulls
PROGRAM
low
Yes
Clear
Configuration
Memory
User Holding
PROGRAM low?
Yes
Initialization
No
Configuration Process:
Initialization Phase
 INIT pin is released
 INIT may be held low externally to
delay configuration
 Mode pins are sampled
 Appropriate configuration pins
become active
 Proceed to load configuration
data
15
Configuration
Memory
Clear
Release INIT
INIT
High?
Yes
Sample
Mode Pins
Load
Configuration
Data
No
Configuration Process:
Load Configuration Data Phase
 FPGA starts receiving data
 CRC is checked during the
data frames transmission
 If incorrect value received, INIT is
driven low and rest of data is
ignored
 If the CRC checks pass,
proceed to start-up
16
Initialization
Load Data
Frames
CRC
Correct?
Yes
Start-UP
No
Pull INIT
Low
Configuration Process:
Start-up Phase
 Transition phase from configuration to
normal operation
 Order of events is user programmable
 Accessed through software options
 Default sequence is:
 DONE pin is released
 All I/O pins become active
 Global write enable released
 Global reset released
 FPGA is operational
17
Load
Configuration
Data
Release
DONE
Activate
I/O Pins
Release
GWE
Release
GSR
FPGA is
Operational
Configuration Process:
Start-up Phase
 Default sequence is:
 DONE pin is released
 All I/O pins become active
 Global write enable released
 Global reset released
 Another useful sequence is “Sync to
DONE”
 Useful for multiple FPGA configuration
(Daisy chain)
 Configuration option
18
Master Serial Mode
 All mode pins tied low
 FPGA drives CCLK as an
output
 Data stream loaded 1 bit at
a time
 Use when data stream is
stored in a serial PROM
19
Slave Serial Mode
 All mode pins tied high
 FPGA receives CCLK as an
input
 Data stream loaded 1 bit at
a time
 Use with the Xilinx serial
download cable
20
What Is a Daisy-Chain?
 Multiple FPGAs connected in series for
configuration
 Allows configuration of many devices from a single data
source
 Minimal board traces
 First device in the chain can be in master serial or
slave serial mode
 All other devices must be in slave serial mode
21
Daisy-Chain Question
 How do you think these FPGAs could be connected to
form a Daisy-chain?
22
Daisy-Chain Answer
 Connect all PROGRAM, CCLK and DONE pins together
 Connect each DOUT to the DIN of next device
 Recommend connecting INIT pins, but not required
23
Creating a Daisy-Chain
 Connect PROGRAM pins
 Required so that all FPGAs will reprogram together
 Connect CCLK pins
 Required so that all FPGAs are synchronized with each other and
with the configuration data
 Connect DONE pins
 Required so that all FPGAs start-up together
 Connect each DOUT to the DIN of next device
 Required to allow each FPGA to receive configuration data
 Connect INIT pins
 Recommended to create a single error flag, but not required
24
How a Daisy-Chain Works
 First FPGA in the chain is configured first
 Keeps DOUT high until its configuration memory is full
 Then data is passed to the next device in the chain
 Start-up sequence occurs after all devices are
configured
 FPGA devices pause after internally releasing DONE, and
continue when DONE externally goes high
25
Xilinx In-System Programming
Using an
Embedded Microcontroller
 Use XAPP 058(v 4.1)
 Virtex® series
 Spartan® series
 CoolRunner® series
 XC9500 series
 Platform Flash PROM family
 XC18V00 family
30
important benefits of in-system
programmability
 Reduces device handling costs and time to market
 Saves the expense of laying out new PC boards
 Allows remote maintenance, modification, and testing
 Increases the life span and functionality of products
 Enables unique, customer-specific features
31
Microcontroller and JTAG
Chain Schematic
32
XSVF File Generation Flow to
Embedded System
33
C-code and header files
 lenval.c
 micro.c
 ports.c
 lenval.h
 micro.h
 ports.h
34
Configuration PROM
Programming File Creation
Storage Flow
35
CPLD Programming File
Creation and Storage Flow
36
FPGA Programming File
Creation and Storage Flow
37
Selected iMPACT Batch
Command
38
Using the iMPACT GUI to
Create XSVF Files
39
Write XSVF file to selected
Device
40
IMPACT batch command
41
Summary
 Field programmable devices are configured on power-up
from an external data source
 The phases of the configuration process are:
 Configuration memory clear
 Initialization
 Load configuration data
 Start-up
 Master serial and slave serial are the simplest configuration
modes
42

Más contenido relacionado

La actualidad más candente

BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignUsha Mehta
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing TechniquesA B Shinde
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Sigma-Delta Analog to Digital Converters
Sigma-Delta Analog to Digital ConvertersSigma-Delta Analog to Digital Converters
Sigma-Delta Analog to Digital ConvertersSatish Patil
 
System On Chip
System On ChipSystem On Chip
System On ChipA B Shinde
 
melay and moore machine.pptx
melay and moore machine.pptxmelay and moore machine.pptx
melay and moore machine.pptxssuser1b2fab
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilogJITU MISTRY
 
Verilog VHDL code Parallel adder
Verilog VHDL code Parallel adder Verilog VHDL code Parallel adder
Verilog VHDL code Parallel adder Bharti Airtel Ltd.
 
Interfacing stepper motor
Interfacing stepper motorInterfacing stepper motor
Interfacing stepper motorPRADEEP
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flowAnish Gupta
 

La actualidad más candente (20)

PLDs
PLDsPLDs
PLDs
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
Fpga
FpgaFpga
Fpga
 
Switch level modeling
Switch level modelingSwitch level modeling
Switch level modeling
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI Design
 
Interfacing 8255
Interfacing 8255Interfacing 8255
Interfacing 8255
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Sigma-Delta Analog to Digital Converters
Sigma-Delta Analog to Digital ConvertersSigma-Delta Analog to Digital Converters
Sigma-Delta Analog to Digital Converters
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Introduction to FPGAs
Introduction to FPGAsIntroduction to FPGAs
Introduction to FPGAs
 
melay and moore machine.pptx
melay and moore machine.pptxmelay and moore machine.pptx
melay and moore machine.pptx
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 
Verilog VHDL code Parallel adder
Verilog VHDL code Parallel adder Verilog VHDL code Parallel adder
Verilog VHDL code Parallel adder
 
Interfacing stepper motor
Interfacing stepper motorInterfacing stepper motor
Interfacing stepper motor
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Cadence
CadenceCadence
Cadence
 

Similar a FPGA Configuration

Steen_Dissertation_March5
Steen_Dissertation_March5Steen_Dissertation_March5
Steen_Dissertation_March5Steen Larsen
 
Ccna day2-140715152313-phpapp02
Ccna day2-140715152313-phpapp02Ccna day2-140715152313-phpapp02
Ccna day2-140715152313-phpapp02Sachin Morya
 
Ccna PPT2
Ccna PPT2Ccna PPT2
Ccna PPT2AIRTEL
 
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSnehaLatha68
 
Ls catalog thiet bi tu dong gm e_0908_dienhathe.vn
Ls catalog thiet bi tu dong gm e_0908_dienhathe.vnLs catalog thiet bi tu dong gm e_0908_dienhathe.vn
Ls catalog thiet bi tu dong gm e_0908_dienhathe.vnDien Ha The
 
Ls catalog thiet bi tu dong gm e_0908
Ls catalog thiet bi tu dong gm e_0908Ls catalog thiet bi tu dong gm e_0908
Ls catalog thiet bi tu dong gm e_0908Dien Ha The
 
Lcu14 101- coresight overview
Lcu14 101- coresight overviewLcu14 101- coresight overview
Lcu14 101- coresight overviewLinaro
 
SoC Idling for unconf COSCUP 2016
SoC Idling for unconf COSCUP 2016SoC Idling for unconf COSCUP 2016
SoC Idling for unconf COSCUP 2016Koan-Sin Tan
 
AD-IP-JESD204 JESD204B Interface Framework
AD-IP-JESD204 JESD204B Interface FrameworkAD-IP-JESD204 JESD204B Interface Framework
AD-IP-JESD204 JESD204B Interface FrameworkAnalog Devices, Inc.
 
Hpe Proliant DL20 Gen10 Server Datasheet
Hpe Proliant DL20 Gen10 Server DatasheetHpe Proliant DL20 Gen10 Server Datasheet
Hpe Proliant DL20 Gen10 Server Datasheet美兰 曾
 
Cyclone II FPGA Overview
Cyclone II FPGA OverviewCyclone II FPGA Overview
Cyclone II FPGA OverviewPremier Farnell
 

Similar a FPGA Configuration (20)

Steen_Dissertation_March5
Steen_Dissertation_March5Steen_Dissertation_March5
Steen_Dissertation_March5
 
Ccna day2
Ccna day2Ccna day2
Ccna day2
 
Ccna 2
Ccna 2Ccna 2
Ccna 2
 
Ccna day2
Ccna day2Ccna day2
Ccna day2
 
Ccna day2-140715152313-phpapp02
Ccna day2-140715152313-phpapp02Ccna day2-140715152313-phpapp02
Ccna day2-140715152313-phpapp02
 
Ccna day2
Ccna day2Ccna day2
Ccna day2
 
Ccna day2
Ccna day2Ccna day2
Ccna day2
 
Ccna PPT2
Ccna PPT2Ccna PPT2
Ccna PPT2
 
C C N A Day2
C C N A  Day2C C N A  Day2
C C N A Day2
 
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
 
Ls catalog thiet bi tu dong gm e_0908_dienhathe.vn
Ls catalog thiet bi tu dong gm e_0908_dienhathe.vnLs catalog thiet bi tu dong gm e_0908_dienhathe.vn
Ls catalog thiet bi tu dong gm e_0908_dienhathe.vn
 
Ls catalog thiet bi tu dong gm e_0908
Ls catalog thiet bi tu dong gm e_0908Ls catalog thiet bi tu dong gm e_0908
Ls catalog thiet bi tu dong gm e_0908
 
Lcu14 101- coresight overview
Lcu14 101- coresight overviewLcu14 101- coresight overview
Lcu14 101- coresight overview
 
SoC Idling for unconf COSCUP 2016
SoC Idling for unconf COSCUP 2016SoC Idling for unconf COSCUP 2016
SoC Idling for unconf COSCUP 2016
 
Smart logic
Smart logicSmart logic
Smart logic
 
Ccna Imp Guide
Ccna Imp GuideCcna Imp Guide
Ccna Imp Guide
 
AD-IP-JESD204 JESD204B Interface Framework
AD-IP-JESD204 JESD204B Interface FrameworkAD-IP-JESD204 JESD204B Interface Framework
AD-IP-JESD204 JESD204B Interface Framework
 
Hpe Proliant DL20 Gen10 Server Datasheet
Hpe Proliant DL20 Gen10 Server DatasheetHpe Proliant DL20 Gen10 Server Datasheet
Hpe Proliant DL20 Gen10 Server Datasheet
 
Cyclone II FPGA Overview
Cyclone II FPGA OverviewCyclone II FPGA Overview
Cyclone II FPGA Overview
 
3rd Lecture
3rd Lecture3rd Lecture
3rd Lecture
 

Último

A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?Igalia
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEarley Information Science
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUK Journal
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsJoaquim Jorge
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Scriptwesley chun
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?Antenna Manufacturer Coco
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...apidays
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityPrincipled Technologies
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Igalia
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024Results
 

Último (20)

A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 

FPGA Configuration

  • 2. Introduction  What is configuration?  Process for loading data into the FPGA Configuration Data Source Configuration Data Source FPGAFPGA Control Logic (optional) Control Logic (optional) 2
  • 3. Introduction  When does configuration happen?  On power-up  On demand  Why do FPGAs need to be configured?  FPGA configuration memory is volatile  What do I need to know about FPGA configuration?  What happens during configuration  How to set up various configuration modes and daisy-chains  How to troubleshoot problems 3
  • 4. FPGA Configuration Process  In order to understand the configuration process, you need to know a little about:  Configuration modes  Configuration pins 4
  • 5. Configuration Modes  Configuration modes define the specifics of how the FPGA will interact with:  The data source  External control logic (if any)  Many configuration modes to choose from  Serial modes (Master and Slave)  SelectMAP mode (Slave Parallel)  Boundary scan mode (Slave) - always available  Other Xilinx FPGA families have more configuration modes 5
  • 6. Configuration Modes  Configuration pins (M0, M1, M2)) Note: 6
  • 7. Configuration Modes: Serial Modes  Data is loaded 1 bit per CCLK  Master serial  FPGA drives configuration clock (CCLK)  FPGA provides all control logic  Slave serial  External control logic required to generate CCLK  Microprocessor  Xilinx serial download cable  Another FPGA Serial Data Serial Data FPGAFPGA CCLK Data Serial Data Serial Data FPGAFPGA Control Logic Control Logic Data CCLK 7
  • 8. Configuration Modes: SelectMAP Mode  CCLK is driven by external logic  Data is loaded 1 byte per CCLK Byte-Wide Data Byte-Wide Data FPGAFPGA Control Logic Control Logic Data CCLKControl Signals Presentation Name 8 8
  • 9. Configuration Modes: Boundary Scan Mode  External control logic required  Control signals and data are presented on the boundary scan pins (TDI, TMS, TCK)  Data is loaded 1 bit per TCK  Always available (independently on M0,M1,M2) Serial Data Serial Data FPGAFPGA Control Logic Control Logic Data Control Signals 9
  • 10. Configuration Pins  Specific pins on the FPGA are used during configuration  Some pins act differently depending on configuration mode  Example: CCLK is an output in some modes and an input in others  Some pins are only used in specific configuration modes  Example: CCLK is not used for Boundary Scan mode 10
  • 11. Configuration Pin Descriptions  Mode Pins (M0, M1, M2)  Input pins that select which configuration mode is being used  PROGRAM  Active low input that initiates configuration  CCLK (Configuration Clock)  Input or output, depending on configuration mode  Frequency up to 10MHz (see Data Book for your device family)  DIN  Serial input for configuration data 11
  • 12. Configuration Pin Descriptions  DOUT  Output to next device in a daisy-chain  Used in daisy-chains only  INIT  Open-drain bi-directional pin  Error and Power Stabilization Flag  DONE  Open-drain bi-directional pin  Indicates completion of configuration process  Other pins are used for specific configuration modes  (i.e. JTAG Pins) 12
  • 13. Configuration Process  Four major phases in the process:  Configuration memory clear  Initialization  Load configuration data  Start-up 13 Configurati on Memory Clear Configurati on Memory Clear InitializationInitialization Load Configurati on Data Load Configurati on Data Start-UpStart-Up
  • 14. Configuration Process Configuration Memory Clear Phase  2 Way to configure  Non-configuration I/O pins are disabled with optional pull-up resistors  INIT and DONE pins are driven low  FPGA memory is cleared  PROGRAM is checked after each memory pass  Proceed to initialization 14 Configuration at Power- Up Vcc AND Vccnt High? No FPGA Drives INIT and DONE low Configuration During User Operation User Pulls PROGRAM low Yes Clear Configuration Memory User Holding PROGRAM low? Yes Initialization No
  • 15. Configuration Process: Initialization Phase  INIT pin is released  INIT may be held low externally to delay configuration  Mode pins are sampled  Appropriate configuration pins become active  Proceed to load configuration data 15 Configuration Memory Clear Release INIT INIT High? Yes Sample Mode Pins Load Configuration Data No
  • 16. Configuration Process: Load Configuration Data Phase  FPGA starts receiving data  CRC is checked during the data frames transmission  If incorrect value received, INIT is driven low and rest of data is ignored  If the CRC checks pass, proceed to start-up 16 Initialization Load Data Frames CRC Correct? Yes Start-UP No Pull INIT Low
  • 17. Configuration Process: Start-up Phase  Transition phase from configuration to normal operation  Order of events is user programmable  Accessed through software options  Default sequence is:  DONE pin is released  All I/O pins become active  Global write enable released  Global reset released  FPGA is operational 17 Load Configuration Data Release DONE Activate I/O Pins Release GWE Release GSR FPGA is Operational
  • 18. Configuration Process: Start-up Phase  Default sequence is:  DONE pin is released  All I/O pins become active  Global write enable released  Global reset released  Another useful sequence is “Sync to DONE”  Useful for multiple FPGA configuration (Daisy chain)  Configuration option 18
  • 19. Master Serial Mode  All mode pins tied low  FPGA drives CCLK as an output  Data stream loaded 1 bit at a time  Use when data stream is stored in a serial PROM 19
  • 20. Slave Serial Mode  All mode pins tied high  FPGA receives CCLK as an input  Data stream loaded 1 bit at a time  Use with the Xilinx serial download cable 20
  • 21. What Is a Daisy-Chain?  Multiple FPGAs connected in series for configuration  Allows configuration of many devices from a single data source  Minimal board traces  First device in the chain can be in master serial or slave serial mode  All other devices must be in slave serial mode 21
  • 22. Daisy-Chain Question  How do you think these FPGAs could be connected to form a Daisy-chain? 22
  • 23. Daisy-Chain Answer  Connect all PROGRAM, CCLK and DONE pins together  Connect each DOUT to the DIN of next device  Recommend connecting INIT pins, but not required 23
  • 24. Creating a Daisy-Chain  Connect PROGRAM pins  Required so that all FPGAs will reprogram together  Connect CCLK pins  Required so that all FPGAs are synchronized with each other and with the configuration data  Connect DONE pins  Required so that all FPGAs start-up together  Connect each DOUT to the DIN of next device  Required to allow each FPGA to receive configuration data  Connect INIT pins  Recommended to create a single error flag, but not required 24
  • 25. How a Daisy-Chain Works  First FPGA in the chain is configured first  Keeps DOUT high until its configuration memory is full  Then data is passed to the next device in the chain  Start-up sequence occurs after all devices are configured  FPGA devices pause after internally releasing DONE, and continue when DONE externally goes high 25
  • 26. Xilinx In-System Programming Using an Embedded Microcontroller  Use XAPP 058(v 4.1)  Virtex® series  Spartan® series  CoolRunner® series  XC9500 series  Platform Flash PROM family  XC18V00 family 30
  • 27. important benefits of in-system programmability  Reduces device handling costs and time to market  Saves the expense of laying out new PC boards  Allows remote maintenance, modification, and testing  Increases the life span and functionality of products  Enables unique, customer-specific features 31
  • 29. XSVF File Generation Flow to Embedded System 33
  • 30. C-code and header files  lenval.c  micro.c  ports.c  lenval.h  micro.h  ports.h 34
  • 31. Configuration PROM Programming File Creation Storage Flow 35
  • 32. CPLD Programming File Creation and Storage Flow 36
  • 33. FPGA Programming File Creation and Storage Flow 37
  • 35. Using the iMPACT GUI to Create XSVF Files 39
  • 36. Write XSVF file to selected Device 40
  • 38. Summary  Field programmable devices are configured on power-up from an external data source  The phases of the configuration process are:  Configuration memory clear  Initialization  Load configuration data  Start-up  Master serial and slave serial are the simplest configuration modes 42

Notas del editor

  1. توضیح کاربرد روش توضیح xapp
  2. اشاره به دانلود فایل از سایت زالینکس و توضیح در مورد آن