SlideShare una empresa de Scribd logo
1 de 18
Descargar para leer sin conexión
6/23/2014 © 2014 ANSYS, Inc. 1 
Methods for Achieving RTL to Gate 
Power Consistency 
Design Automation Conference 2014
6/23/2014 © 2014 ANSYS, Inc. 2 
PowerArtist™: RTL Design-for-Power Platform 
Power Analysis and Debug 
Original RTL Low-Power RTL 
Automated Power Reduction Links with Physical 
Physical 
Power 
RTL Power 
PACE RPM
6/23/2014 © 2014 ANSYS, Inc. 3 
Objectives of RTL Power Analysis 
• Power trade-off analysis using relative accuracy 
• Sign off power with absolute accuracy 
• Analysis driven power reduction 
0.00 
0.10 
0.20 
0.30 
0.40 
0.50 
0.60 
0.70 
0.80 
0.90 
1.00 
0.00 
0.10 
0.20 
0.30 
0.40 
0.50 
0.60 
0.70 
0.80 
0.90 
1.00 
1 11 21 31 41 51 61 71 81 91 101 111 121 131 141 151 161 171 181 191 201 211 221 231 241 251 261 271 281 291 
Cumulative Area 
Overhead 
(normalized) 
Total Power 
Savings Available 
(normalized) 
# RTL Changes (Design Effort) 
Maximum acceptable area 
impact 
Maximum possible 
power savings 
Only 5 changes 
gave 50% saving
6/23/2014 © 2014 ANSYS, Inc. 5 
RTL Power: Inputs for PowerArtist 
Vdd 
1 
Power domains 
(UPF / CPF) 
Vdd 
2 
module PA ( 
... 
always @ (posedge clk) begin 
dout <= din1; 
end 
assign out = sel ? dout : din2; 
... 
endmodule RTL 
(VHDL, Verilog, System Verilog) 
RTL Power 
Analysis 
Capacitance model 
(WLM / PACE) 
mux 
and 
register 
register 
Activity 
(FSDB / VCD / SAIF) 
Clock tree, gating 
(SDC, PACE, user input) 
clk 
Power models 
(Liberty .lib)
6/23/2014 © 2014 ANSYS, Inc. 6 
Factors Affecting RTL Power Accuracy 
Synthesis 
Modeling 
Inferencing 
Multi-VT 
Cell Selection 
Micro-architecture 
Algorithmic 
RTL Models 
Activity 
Propagation 
Timing 
Power 
Computation 
Physical 
Models 
Clock Tree 
Wire Cap 
Transition Time 
Low Power 
Structures 
Voltage / Power 
Domains 
CPF / UPF 
NOTE: Algorithmic and Low Power 
structures are not configured for 
accuracy
6/23/2014 © 2014 ANSYS, Inc. 7 
Synthesis Modeling Aspects for RTL Power 
• Optimization settings to be consistent as synthesis 
• Enable DesignWare flow (if DW components are present) Inferencing 
Multi-VT • Apply consistent multi-VT settings from synthesis 
• Fine-tune cell selection based on synthesis netlist 
• Apply boundary conditions based on load/ frequency Cell Selection 
• Apply microarchitectures for macros (e.g. adders, 
multipliers) Microarchitecture
6/23/2014 © 2014 ANSYS, Inc. 8 
Synthesis Modeling Aspects in PowerArtist 
b = 8’b11000100; 
assign z = a * b; 
CSA 
Constant Multipliers 
assign z = a + b + c + d ; a b c 
CSA d 
CSA 
+ 
a b 
+ c 
+ d 
+ 
Chains of Adders 
Look-Up Table Optimization 
OR 
plane 
address 
data 
case (address) 
8'd0 : data = {32'd0}; 
8'd1 : data = {32'd12}; 
… 
endcase 
address 
Optimized and-or plane by 
sharing common logic 
data 
Cell mapping to 
basic 2-input cells 
Modeled using 
AOIs 
Un-encoded mux
6/23/2014 © 2014 ANSYS, Inc. 9 
RTL Power Accuracy 
Using Wire Load Models 
– Large difference seen with 
simple wire load models 
– Clock and Combo power show 
the largest difference 
– Total power shows 40% 
difference wrt gate level 
Mobile SoC Case Study 
** Note: GATE considered to be most accurate 
28.8% 
11.0% 
-9.2% 
69.2% 
41.2% 
32.3% 
40.2% 
-100% 
-80% 
-60% 
-40% 
-20% 
0% 
20% 
40% 
60% 
80% 
100% 
0.000 
0.020 
0.040 
0.060 
0.080 
0.100 
0.120 
% Difference 
Power (Watts) 
RTL Wire Load Models vs. Gate Level 
(Different Power Categories) 
RTL WLM GATE %diff
6/23/2014 © 2014 ANSYS, Inc. 10 
Physical Aspects Modeling for Power 
• Modeling clock tree 
• Balanced and Clock Mesh topology Clock Tree 
• Accurately model post-layout wire capacitance 
• Model capacitance profile for different types of nets Wire Cap 
• Accurately model slew for realistic power 
• Both clock and logic nets Transition Time
6/23/2014 © 2014 ANSYS, Inc. 11 
Physical Modeling: Clock Tree 
• RTL clock power accuracy requirements 
– Understand clock gating methodology 
– Understand clock tree topology and buffering 
• Difficult for RTL designers to get data from backend team 
Balanced Clock Tree Clock Mesh Topology
6/23/2014 © 2014 ANSYS, Inc. 12 
Physical Modeling: Wire Cap 
40nm, 45k nets with fanout 1 
Traditional Wire Load Models 
• Not available in some vendor libraries; often not calibrated 
• Custom WLMs not portable across blocks and designs 
• Simplistic modeling results in poor accuracy 
WLM assigns 1fF for all nets vs. SPEF 
that varies 0.2fF to >129fF
6/23/2014 © 2014 ANSYS, Inc. 13 
PACE™ for RTL Power Accuracy 
PACE applies from RTL to Pre-layout Power 
• Clock tree models 
– Determine buffer and CG cells per inferred clock tree 
– Supports both balanced clock tree as well as clock mesh 
• Wire capacitance models 
– Granular, power-oriented vs. traditional WLMs 
module PA ( 
... 
always @ (posedge clk) 
begin 
dout <= din1; 
end 
assign out = sel ? dout : 
din2; 
... 
endmodule 
Clock distribution 
Parasitics 
Multiple Vt 
Low-power structures 
RTL Power 
Bridge the RTL ↔ Implementation Gap 
Statistical Models: 
Wire Cap and Clock 
Representative 
Layout 
PowerArtist 
Calibration (PACE) 
Post-Layout Power
6/23/2014 © 2014 ANSYS, Inc. 14 
-13.4% 
5.1% 
-9.2% 
22.8% 
8.1% 
-37.4% 
3.0% 
-100% 
-80% 
-60% 
-40% 
-20% 
0% 
20% 
40% 
60% 
80% 
100% 
0.000 
0.020 
0.040 
0.060 
0.080 
0.100 
0.120 
% Difference 
Power (Watts) 
PACE Cap Models vs. WLM & Gate Level 
(Different Power Categories) 
RTL WLM RTL w PACE Cap GATE %diff 
RTL Power Accuracy 
Using PACE Cap Models 
– Tighter correlation seen with 
PACE Cap models 
– Register and Combo power 
are within +/-20% 
– Total power shows <5% 
difference wrt gate level 
Mobile SoC Case Study 
** Note: GATE considered to be most accurate
6/23/2014 © 2014 ANSYS, Inc. 15 
RTL Power Accuracy 
Using PACE Cap + Clock Models 
– Best correlation seen with 
PACE Cap + Clock models 
– Overall correlation is within 
+/-15% 
Mobile SoC Case Study 
** Note: GATE considered to be most accurate 
-13.4% 
9.9% 
-9.2% 
-12.8% -9.0% -13.6% -9.4% 
-100.0% 
-80.0% 
-60.0% 
-40.0% 
-20.0% 
0.0% 
20.0% 
40.0% 
60.0% 
80.0% 
100.0% 
0.000 
0.020 
0.040 
0.060 
0.080 
0.100 
0.120 
% Difference 
Power (Watts) 
PACE Cap+Clk Models vs. WLM & Gate Level 
(Different Power Categories) 
RTL WLM RTL w PACE Cap+Clock GATE 
%diff w/ PACE %diff w/ WLM
6/23/2014 © 2014 ANSYS, Inc. 16 
0.000 
0.020 
0.040 
0.060 
0.080 
0.100 
0.120 
Design 1 Design 2 Design 3 
Power (Watts) 
Total Power Comparison 
RTL WLM RTL PACE GATE 
RTL Power Accuracy 
Using PACE Cap + Clock Models 
– Total power with WLM is 
greater than +/-30% 
– With PACE models within 
+/-20% 
Mobile SoC Blocks Case 
Study 
** Note: GATE considered to be most accurate
6/23/2014 © 2014 ANSYS, Inc. 17 
RTL Power Accuracy 
Using PACE Cap + Clock Models 
– Total power with WLM is 
greater than +/-30% 
– With PACE models within 
+/-20% 
Mobile SoC Blocks Case 
Study 
** Note: GATE considered to be most accurate 
– Clock power with PACE 
is within +/-20% as well 
15.5% 
19.0% 
20.7% 
0.0% 
5.0% 
10.0% 
15.0% 
20.0% 
25.0% 
0.00E+00 
1.00E-02 
2.00E-02 
3.00E-02 
4.00E-02 
5.00E-02 
6.00E-02 
7.00E-02 
8.00E-02 
Design 1 Design 2 Design 3 
% diff 
Power (Watts) 
Clock Power wrt RTL PACE vs. GATE 
GATE RTL PACE %diff
6/23/2014 © 2014 ANSYS, Inc. 18 
Nvidia Case Study: RTL Power Accuracy 
DESIGN 
Number of 
instances 
Black-boxed 
DW 
instances 
Avg 
Dynamic 
Power 
(mW) 
Avg 
Leakage 
Power 
(mW) 
Avg Total 
Power 
(mW) 
Avg 
Dynamic 
Power 
(mW) 
Avg 
Leakage 
Power 
(mW) 
Avg Total 
Power 
(mW) 
% 
Dynamic 
Power 
% Leakage 
Power 
% Total 
Power 
PR 580320 0 82.524 114.210 196.735 92.900 111.734 204.635 12.57% -2.17% 4.02% 
TD 268993 0 89.209 38.713 127.923 101.755 35.089 136.844 14.06% -9.36% 6.97% 
TTM 158407 14 64.828 21.353 86.181 63.583 20.212 83.795 -1.92% -5.34% -2.77% 
TTF 134152 64 47.850 14.874 62.724 32.563 13.431 45.995 -31.95% -9.70% -26.67% 
SMI 1137155 101 145.497 201.661 347.158 125.133 135.635 260.768 -14.00% -32.74% -24.88% 
SRF 509095 24 263.894 75.515 339.409 258.332 73.897 332.229 -2.11% -2.14% -2.12% 
115.634 77.721 193.355 112.378 65.000 177.378 -2.82% -16.37% -8.26% 
125.114 62.448 187.562 129.143 60.233 189.376 3.22% -3.55% 0.97% 
85.867 76.462 162.329 97.328 73.412 170.739 13.35% -3.99% 5.18% 
Average Power excluding SMI/TTF 
Average Power PR/TD only 
Post-synthesis PT-PX RTL Power Artist 
RTL Power Artist vs 
Post-synthesis PT-PX 
Average Power overall designs 
• Power correlation performed for 6 designs 130K - 1.13M instances 
• In general, very good average power correlation observed (SMI and TTF having DWs) 
• 8-16 tests being run across the blocks 
** Source : Nvidia-Apache Webinar, July 2013 (Miki)
6/23/2014 © 2014 ANSYS, Inc. 19 
Summary 
• RTL power enables early design trade offs for high power impact 
• PowerArtist provides predictable RTL power accuracy wrt GATE 
• PowerArtist has advanced synthesis and physical modeling techniques 
• PowerArtist PACE modeling is proven across designs 
• Use PowerArtist for RTL power sign-off with absolute accuracy

Más contenido relacionado

La actualidad más candente

Reliability prediction of electronic components
Reliability prediction of electronic componentsReliability prediction of electronic components
Reliability prediction of electronic componentsPRANAY GUPTA
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)Shivam Gupta
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Deepak Shankar
 
Introduction to plc (s7)­
Introduction to  plc (s7)­ Introduction to  plc (s7)­
Introduction to plc (s7)­ majitra
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Ansys
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08Obsidian Software
 
Solar irradiance data sources & software
Solar irradiance data sources & softwareSolar irradiance data sources & software
Solar irradiance data sources & softwareakhtar ali
 
Simulation DC Motor Speed Control System by using PID Controller
Simulation DC Motor Speed Control System by using PID ControllerSimulation DC Motor Speed Control System by using PID Controller
Simulation DC Motor Speed Control System by using PID Controllerijtsrd
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2SUNODH GARLAPATI
 
Emerging Non Volatile Memory (NVM) Technology & Market Trends: 2014 Report by...
Emerging Non Volatile Memory (NVM) Technology & Market Trends: 2014 Report by...Emerging Non Volatile Memory (NVM) Technology & Market Trends: 2014 Report by...
Emerging Non Volatile Memory (NVM) Technology & Market Trends: 2014 Report by...Yole Developpement
 
BLDC control using PID & FUZZY logic controller-CSD PPT
BLDC control using PID & FUZZY logic controller-CSD PPTBLDC control using PID & FUZZY logic controller-CSD PPT
BLDC control using PID & FUZZY logic controller-CSD PPTAmiya Ranjan Behera
 
How the Convergence of IT and OT Enables Smart Grid Development
How the Convergence of IT and OT Enables Smart Grid DevelopmentHow the Convergence of IT and OT Enables Smart Grid Development
How the Convergence of IT and OT Enables Smart Grid DevelopmentSchneider Electric
 
Distributed Control System Basics
Distributed Control System BasicsDistributed Control System Basics
Distributed Control System BasicsPratap Chandra
 
An Overview on Programmable System on Chip: PSoC-5
An Overview on Programmable System on Chip: PSoC-5An Overview on Programmable System on Chip: PSoC-5
An Overview on Programmable System on Chip: PSoC-5Premier Farnell
 

La actualidad más candente (20)

SCADA of the Future
SCADA of the FutureSCADA of the Future
SCADA of the Future
 
Lustre Best Practices
Lustre Best Practices Lustre Best Practices
Lustre Best Practices
 
Reliability prediction of electronic components
Reliability prediction of electronic componentsReliability prediction of electronic components
Reliability prediction of electronic components
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
Introduction to plc (s7)­
Introduction to  plc (s7)­ Introduction to  plc (s7)­
Introduction to plc (s7)­
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
 
Solar irradiance data sources & software
Solar irradiance data sources & softwareSolar irradiance data sources & software
Solar irradiance data sources & software
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
ATPG flow chart
ATPG flow chart ATPG flow chart
ATPG flow chart
 
Simulation DC Motor Speed Control System by using PID Controller
Simulation DC Motor Speed Control System by using PID ControllerSimulation DC Motor Speed Control System by using PID Controller
Simulation DC Motor Speed Control System by using PID Controller
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
Power
PowerPower
Power
 
Emerging Non Volatile Memory (NVM) Technology & Market Trends: 2014 Report by...
Emerging Non Volatile Memory (NVM) Technology & Market Trends: 2014 Report by...Emerging Non Volatile Memory (NVM) Technology & Market Trends: 2014 Report by...
Emerging Non Volatile Memory (NVM) Technology & Market Trends: 2014 Report by...
 
BLDC control using PID & FUZZY logic controller-CSD PPT
BLDC control using PID & FUZZY logic controller-CSD PPTBLDC control using PID & FUZZY logic controller-CSD PPT
BLDC control using PID & FUZZY logic controller-CSD PPT
 
How the Convergence of IT and OT Enables Smart Grid Development
How the Convergence of IT and OT Enables Smart Grid DevelopmentHow the Convergence of IT and OT Enables Smart Grid Development
How the Convergence of IT and OT Enables Smart Grid Development
 
Distributed Control System Basics
Distributed Control System BasicsDistributed Control System Basics
Distributed Control System Basics
 
dual-port RAM (DPRAM)
dual-port RAM (DPRAM)dual-port RAM (DPRAM)
dual-port RAM (DPRAM)
 
An Overview on Programmable System on Chip: PSoC-5
An Overview on Programmable System on Chip: PSoC-5An Overview on Programmable System on Chip: PSoC-5
An Overview on Programmable System on Chip: PSoC-5
 

Destacado

Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAnsys
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsAnsys
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderAnsys
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementAnsys
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate OverviewAnsys
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor SimulationAnsys
 
FD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin PresentationFD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin PresentationRick Tewell
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesAnsys
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationAnsys
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureAnsys
 
Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysAnsys
 
CAE-Based Strategies to Improve Reliability of Variable Oil Pumps
CAE-Based Strategies to Improve Reliability of Variable Oil PumpsCAE-Based Strategies to Improve Reliability of Variable Oil Pumps
CAE-Based Strategies to Improve Reliability of Variable Oil PumpsAnsys
 
ANSYS Brake Simulation
ANSYS Brake SimulationANSYS Brake Simulation
ANSYS Brake SimulationAnsys
 

Destacado (17)

Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal Designs
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna Placement
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate Overview
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor Simulation
 
FD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin PresentationFD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin Presentation
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering Simulation
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone Failure
 
Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using Ansys
 
CAE-Based Strategies to Improve Reliability of Variable Oil Pumps
CAE-Based Strategies to Improve Reliability of Variable Oil PumpsCAE-Based Strategies to Improve Reliability of Variable Oil Pumps
CAE-Based Strategies to Improve Reliability of Variable Oil Pumps
 
Ch01
Ch01Ch01
Ch01
 
ANSYS Workbench
ANSYS WorkbenchANSYS Workbench
ANSYS Workbench
 
ANSYS Brake Simulation
ANSYS Brake SimulationANSYS Brake Simulation
ANSYS Brake Simulation
 
Ansys tutorial
Ansys tutorialAnsys tutorial
Ansys tutorial
 

Similar a Methods for Achieving RTL to Gate Power Consistency

Next Generation Researchers in Power Systems_Tao Yang_UCD EI
Next Generation Researchers in Power Systems_Tao Yang_UCD EINext Generation Researchers in Power Systems_Tao Yang_UCD EI
Next Generation Researchers in Power Systems_Tao Yang_UCD EITao Yang
 
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...OPAL-RT TECHNOLOGIES
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iASaurabh Dighe
 
AMSC D-VAR® Model for PSSE_releasable
AMSC D-VAR® Model for PSSE_releasableAMSC D-VAR® Model for PSSE_releasable
AMSC D-VAR® Model for PSSE_releasablejohnwrightsmith
 
Asiasim2004 final
Asiasim2004 finalAsiasim2004 final
Asiasim2004 finalvrsim
 
Power Transformers regulations - MEPS
Power Transformers regulations - MEPSPower Transformers regulations - MEPS
Power Transformers regulations - MEPSLeonardo ENERGY
 
Kollmorgen servo systems_specsheet
Kollmorgen servo systems_specsheetKollmorgen servo systems_specsheet
Kollmorgen servo systems_specsheetElectromate
 
Kollmorgen pma with_pc800_ specsheet
Kollmorgen  pma with_pc800_ specsheetKollmorgen  pma with_pc800_ specsheet
Kollmorgen pma with_pc800_ specsheetElectromate
 
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET Journal
 
ADB_False_River_Project_RevB.pdf
ADB_False_River_Project_RevB.pdfADB_False_River_Project_RevB.pdf
ADB_False_River_Project_RevB.pdfDavidBurgess80
 
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...Prashanta Sarkar
 
Presentation SIW7 amjad anvari-moghaddam
Presentation SIW7 amjad anvari-moghaddamPresentation SIW7 amjad anvari-moghaddam
Presentation SIW7 amjad anvari-moghaddamJuan C. Vasquez
 
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...theijes
 
A04310104
A04310104A04310104
A04310104theijes
 
Advancements in the Real-Time Simulation of Large Active Distribution Systems...
Advancements in the Real-Time Simulation of Large Active Distribution Systems...Advancements in the Real-Time Simulation of Large Active Distribution Systems...
Advancements in the Real-Time Simulation of Large Active Distribution Systems...OPAL-RT TECHNOLOGIES
 

Similar a Methods for Achieving RTL to Gate Power Consistency (20)

Next Generation Researchers in Power Systems_Tao Yang_UCD EI
Next Generation Researchers in Power Systems_Tao Yang_UCD EINext Generation Researchers in Power Systems_Tao Yang_UCD EI
Next Generation Researchers in Power Systems_Tao Yang_UCD EI
 
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
 
AMSC D-VAR® Model for PSSE_releasable
AMSC D-VAR® Model for PSSE_releasableAMSC D-VAR® Model for PSSE_releasable
AMSC D-VAR® Model for PSSE_releasable
 
Asiasim2004 final
Asiasim2004 finalAsiasim2004 final
Asiasim2004 final
 
Power Transformers regulations - MEPS
Power Transformers regulations - MEPSPower Transformers regulations - MEPS
Power Transformers regulations - MEPS
 
Kollmorgen servo systems_specsheet
Kollmorgen servo systems_specsheetKollmorgen servo systems_specsheet
Kollmorgen servo systems_specsheet
 
Kollmorgen pma with_pc800_ specsheet
Kollmorgen  pma with_pc800_ specsheetKollmorgen  pma with_pc800_ specsheet
Kollmorgen pma with_pc800_ specsheet
 
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
 
ADB_False_River_Project_RevB.pdf
ADB_False_River_Project_RevB.pdfADB_False_River_Project_RevB.pdf
ADB_False_River_Project_RevB.pdf
 
Smart Grid in Hawaii
Smart Grid in HawaiiSmart Grid in Hawaii
Smart Grid in Hawaii
 
03 broderick qsts_sand2016-4697 c
03 broderick qsts_sand2016-4697 c03 broderick qsts_sand2016-4697 c
03 broderick qsts_sand2016-4697 c
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
OPTIMAL PLACEMENT AND SIZING OF CAPACITOR BANKS BASED ON VOLTAGE PROFILE AND ...
 
04 key ieee p1547 update
04 key ieee p1547 update04 key ieee p1547 update
04 key ieee p1547 update
 
OPAL-RT ePHASORsim Webinar
OPAL-RT ePHASORsim WebinarOPAL-RT ePHASORsim Webinar
OPAL-RT ePHASORsim Webinar
 
Presentation SIW7 amjad anvari-moghaddam
Presentation SIW7 amjad anvari-moghaddamPresentation SIW7 amjad anvari-moghaddam
Presentation SIW7 amjad anvari-moghaddam
 
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
 
A04310104
A04310104A04310104
A04310104
 
Advancements in the Real-Time Simulation of Large Active Distribution Systems...
Advancements in the Real-Time Simulation of Large Active Distribution Systems...Advancements in the Real-Time Simulation of Large Active Distribution Systems...
Advancements in the Real-Time Simulation of Large Active Distribution Systems...
 

Más de Ansys

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services OverviewAnsys
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAnsys
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsAnsys
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAnsys
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance ComputingAnsys
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsAnsys
 
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package ConvergenceANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package ConvergenceAnsys
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsAnsys
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectAnsys
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3Ansys
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAnsys
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Ansys
 
Automotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAutomotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAnsys
 
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareHow to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareAnsys
 
Buckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic ProtectionBuckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic ProtectionAnsys
 
Erosion Analysis of Subsea Equipment: A Case Study with High Solid Loading
Erosion Analysis of Subsea Equipment: A Case Study with High Solid LoadingErosion Analysis of Subsea Equipment: A Case Study with High Solid Loading
Erosion Analysis of Subsea Equipment: A Case Study with High Solid LoadingAnsys
 
HPC Parallel Computing for CFD - Customer Examples (2 of 4)
HPC Parallel Computing for CFD - Customer Examples (2 of 4)HPC Parallel Computing for CFD - Customer Examples (2 of 4)
HPC Parallel Computing for CFD - Customer Examples (2 of 4)Ansys
 

Más de Ansys (17)

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services Overview
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic Products
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance Computing
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based Designs
 
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package ConvergenceANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD Simulation
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
 
Automotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAutomotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case Study
 
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareHow to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
 
Buckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic ProtectionBuckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic Protection
 
Erosion Analysis of Subsea Equipment: A Case Study with High Solid Loading
Erosion Analysis of Subsea Equipment: A Case Study with High Solid LoadingErosion Analysis of Subsea Equipment: A Case Study with High Solid Loading
Erosion Analysis of Subsea Equipment: A Case Study with High Solid Loading
 
HPC Parallel Computing for CFD - Customer Examples (2 of 4)
HPC Parallel Computing for CFD - Customer Examples (2 of 4)HPC Parallel Computing for CFD - Customer Examples (2 of 4)
HPC Parallel Computing for CFD - Customer Examples (2 of 4)
 

Último

chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringmulugeta48
 
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Bookingroncy bisnoi
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfRagavanV2
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxJuliansyahHarahap1
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . pptDineshKumar4165
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756dollysharma2066
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptNANDHAKUMARA10
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfKamal Acharya
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationBhangaleSonal
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdfKamal Acharya
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Bookingdharasingh5698
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086anil_gaur
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VDineshKumar4165
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...SUHANI PANDEY
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptDineshKumar4165
 

Último (20)

Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineering
 
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
 
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 

Methods for Achieving RTL to Gate Power Consistency

  • 1. 6/23/2014 © 2014 ANSYS, Inc. 1 Methods for Achieving RTL to Gate Power Consistency Design Automation Conference 2014
  • 2. 6/23/2014 © 2014 ANSYS, Inc. 2 PowerArtist™: RTL Design-for-Power Platform Power Analysis and Debug Original RTL Low-Power RTL Automated Power Reduction Links with Physical Physical Power RTL Power PACE RPM
  • 3. 6/23/2014 © 2014 ANSYS, Inc. 3 Objectives of RTL Power Analysis • Power trade-off analysis using relative accuracy • Sign off power with absolute accuracy • Analysis driven power reduction 0.00 0.10 0.20 0.30 0.40 0.50 0.60 0.70 0.80 0.90 1.00 0.00 0.10 0.20 0.30 0.40 0.50 0.60 0.70 0.80 0.90 1.00 1 11 21 31 41 51 61 71 81 91 101 111 121 131 141 151 161 171 181 191 201 211 221 231 241 251 261 271 281 291 Cumulative Area Overhead (normalized) Total Power Savings Available (normalized) # RTL Changes (Design Effort) Maximum acceptable area impact Maximum possible power savings Only 5 changes gave 50% saving
  • 4. 6/23/2014 © 2014 ANSYS, Inc. 5 RTL Power: Inputs for PowerArtist Vdd 1 Power domains (UPF / CPF) Vdd 2 module PA ( ... always @ (posedge clk) begin dout <= din1; end assign out = sel ? dout : din2; ... endmodule RTL (VHDL, Verilog, System Verilog) RTL Power Analysis Capacitance model (WLM / PACE) mux and register register Activity (FSDB / VCD / SAIF) Clock tree, gating (SDC, PACE, user input) clk Power models (Liberty .lib)
  • 5. 6/23/2014 © 2014 ANSYS, Inc. 6 Factors Affecting RTL Power Accuracy Synthesis Modeling Inferencing Multi-VT Cell Selection Micro-architecture Algorithmic RTL Models Activity Propagation Timing Power Computation Physical Models Clock Tree Wire Cap Transition Time Low Power Structures Voltage / Power Domains CPF / UPF NOTE: Algorithmic and Low Power structures are not configured for accuracy
  • 6. 6/23/2014 © 2014 ANSYS, Inc. 7 Synthesis Modeling Aspects for RTL Power • Optimization settings to be consistent as synthesis • Enable DesignWare flow (if DW components are present) Inferencing Multi-VT • Apply consistent multi-VT settings from synthesis • Fine-tune cell selection based on synthesis netlist • Apply boundary conditions based on load/ frequency Cell Selection • Apply microarchitectures for macros (e.g. adders, multipliers) Microarchitecture
  • 7. 6/23/2014 © 2014 ANSYS, Inc. 8 Synthesis Modeling Aspects in PowerArtist b = 8’b11000100; assign z = a * b; CSA Constant Multipliers assign z = a + b + c + d ; a b c CSA d CSA + a b + c + d + Chains of Adders Look-Up Table Optimization OR plane address data case (address) 8'd0 : data = {32'd0}; 8'd1 : data = {32'd12}; … endcase address Optimized and-or plane by sharing common logic data Cell mapping to basic 2-input cells Modeled using AOIs Un-encoded mux
  • 8. 6/23/2014 © 2014 ANSYS, Inc. 9 RTL Power Accuracy Using Wire Load Models – Large difference seen with simple wire load models – Clock and Combo power show the largest difference – Total power shows 40% difference wrt gate level Mobile SoC Case Study ** Note: GATE considered to be most accurate 28.8% 11.0% -9.2% 69.2% 41.2% 32.3% 40.2% -100% -80% -60% -40% -20% 0% 20% 40% 60% 80% 100% 0.000 0.020 0.040 0.060 0.080 0.100 0.120 % Difference Power (Watts) RTL Wire Load Models vs. Gate Level (Different Power Categories) RTL WLM GATE %diff
  • 9. 6/23/2014 © 2014 ANSYS, Inc. 10 Physical Aspects Modeling for Power • Modeling clock tree • Balanced and Clock Mesh topology Clock Tree • Accurately model post-layout wire capacitance • Model capacitance profile for different types of nets Wire Cap • Accurately model slew for realistic power • Both clock and logic nets Transition Time
  • 10. 6/23/2014 © 2014 ANSYS, Inc. 11 Physical Modeling: Clock Tree • RTL clock power accuracy requirements – Understand clock gating methodology – Understand clock tree topology and buffering • Difficult for RTL designers to get data from backend team Balanced Clock Tree Clock Mesh Topology
  • 11. 6/23/2014 © 2014 ANSYS, Inc. 12 Physical Modeling: Wire Cap 40nm, 45k nets with fanout 1 Traditional Wire Load Models • Not available in some vendor libraries; often not calibrated • Custom WLMs not portable across blocks and designs • Simplistic modeling results in poor accuracy WLM assigns 1fF for all nets vs. SPEF that varies 0.2fF to >129fF
  • 12. 6/23/2014 © 2014 ANSYS, Inc. 13 PACE™ for RTL Power Accuracy PACE applies from RTL to Pre-layout Power • Clock tree models – Determine buffer and CG cells per inferred clock tree – Supports both balanced clock tree as well as clock mesh • Wire capacitance models – Granular, power-oriented vs. traditional WLMs module PA ( ... always @ (posedge clk) begin dout <= din1; end assign out = sel ? dout : din2; ... endmodule Clock distribution Parasitics Multiple Vt Low-power structures RTL Power Bridge the RTL ↔ Implementation Gap Statistical Models: Wire Cap and Clock Representative Layout PowerArtist Calibration (PACE) Post-Layout Power
  • 13. 6/23/2014 © 2014 ANSYS, Inc. 14 -13.4% 5.1% -9.2% 22.8% 8.1% -37.4% 3.0% -100% -80% -60% -40% -20% 0% 20% 40% 60% 80% 100% 0.000 0.020 0.040 0.060 0.080 0.100 0.120 % Difference Power (Watts) PACE Cap Models vs. WLM & Gate Level (Different Power Categories) RTL WLM RTL w PACE Cap GATE %diff RTL Power Accuracy Using PACE Cap Models – Tighter correlation seen with PACE Cap models – Register and Combo power are within +/-20% – Total power shows <5% difference wrt gate level Mobile SoC Case Study ** Note: GATE considered to be most accurate
  • 14. 6/23/2014 © 2014 ANSYS, Inc. 15 RTL Power Accuracy Using PACE Cap + Clock Models – Best correlation seen with PACE Cap + Clock models – Overall correlation is within +/-15% Mobile SoC Case Study ** Note: GATE considered to be most accurate -13.4% 9.9% -9.2% -12.8% -9.0% -13.6% -9.4% -100.0% -80.0% -60.0% -40.0% -20.0% 0.0% 20.0% 40.0% 60.0% 80.0% 100.0% 0.000 0.020 0.040 0.060 0.080 0.100 0.120 % Difference Power (Watts) PACE Cap+Clk Models vs. WLM & Gate Level (Different Power Categories) RTL WLM RTL w PACE Cap+Clock GATE %diff w/ PACE %diff w/ WLM
  • 15. 6/23/2014 © 2014 ANSYS, Inc. 16 0.000 0.020 0.040 0.060 0.080 0.100 0.120 Design 1 Design 2 Design 3 Power (Watts) Total Power Comparison RTL WLM RTL PACE GATE RTL Power Accuracy Using PACE Cap + Clock Models – Total power with WLM is greater than +/-30% – With PACE models within +/-20% Mobile SoC Blocks Case Study ** Note: GATE considered to be most accurate
  • 16. 6/23/2014 © 2014 ANSYS, Inc. 17 RTL Power Accuracy Using PACE Cap + Clock Models – Total power with WLM is greater than +/-30% – With PACE models within +/-20% Mobile SoC Blocks Case Study ** Note: GATE considered to be most accurate – Clock power with PACE is within +/-20% as well 15.5% 19.0% 20.7% 0.0% 5.0% 10.0% 15.0% 20.0% 25.0% 0.00E+00 1.00E-02 2.00E-02 3.00E-02 4.00E-02 5.00E-02 6.00E-02 7.00E-02 8.00E-02 Design 1 Design 2 Design 3 % diff Power (Watts) Clock Power wrt RTL PACE vs. GATE GATE RTL PACE %diff
  • 17. 6/23/2014 © 2014 ANSYS, Inc. 18 Nvidia Case Study: RTL Power Accuracy DESIGN Number of instances Black-boxed DW instances Avg Dynamic Power (mW) Avg Leakage Power (mW) Avg Total Power (mW) Avg Dynamic Power (mW) Avg Leakage Power (mW) Avg Total Power (mW) % Dynamic Power % Leakage Power % Total Power PR 580320 0 82.524 114.210 196.735 92.900 111.734 204.635 12.57% -2.17% 4.02% TD 268993 0 89.209 38.713 127.923 101.755 35.089 136.844 14.06% -9.36% 6.97% TTM 158407 14 64.828 21.353 86.181 63.583 20.212 83.795 -1.92% -5.34% -2.77% TTF 134152 64 47.850 14.874 62.724 32.563 13.431 45.995 -31.95% -9.70% -26.67% SMI 1137155 101 145.497 201.661 347.158 125.133 135.635 260.768 -14.00% -32.74% -24.88% SRF 509095 24 263.894 75.515 339.409 258.332 73.897 332.229 -2.11% -2.14% -2.12% 115.634 77.721 193.355 112.378 65.000 177.378 -2.82% -16.37% -8.26% 125.114 62.448 187.562 129.143 60.233 189.376 3.22% -3.55% 0.97% 85.867 76.462 162.329 97.328 73.412 170.739 13.35% -3.99% 5.18% Average Power excluding SMI/TTF Average Power PR/TD only Post-synthesis PT-PX RTL Power Artist RTL Power Artist vs Post-synthesis PT-PX Average Power overall designs • Power correlation performed for 6 designs 130K - 1.13M instances • In general, very good average power correlation observed (SMI and TTF having DWs) • 8-16 tests being run across the blocks ** Source : Nvidia-Apache Webinar, July 2013 (Miki)
  • 18. 6/23/2014 © 2014 ANSYS, Inc. 19 Summary • RTL power enables early design trade offs for high power impact • PowerArtist provides predictable RTL power accuracy wrt GATE • PowerArtist has advanced synthesis and physical modeling techniques • PowerArtist PACE modeling is proven across designs • Use PowerArtist for RTL power sign-off with absolute accuracy