SlideShare una empresa de Scribd logo
1 de 48
AMini Project SeminaronDSP DATAPATH M.Tech Microelectronics Manipal Institute of  Technology By: Abhishek Tiwari B. Dhaval Kumar K .Sravan Kumar Rajkumar Patidar
Introduction  Datapath Architecture of  Datapath Datapath in DSP Processors Modules using in Datapath Modules Function
Problem Definition  DSPs ,[object Object]
Hardware support for managing numeric fidelity:
Shifters
Guard bits
SaturationGPPs ,[object Object]
Shifts often take >1 cycle
Other operations  typically take multiple cycles,[object Object]
General  Processor
Datapath Second Main Part in Microprocessor. Contains Several Unit. It Referred  RTL Design.
Basic Architecture
A Simple DSP Processor
DSP Datapath
Modules Registers MAC ALU Shifter Tristate Buffer Control Unit
Registers Storing Multiple Bits Register File  Synchronized  by same Clock 8 Bit Register, 16 Bit Register
Basic Register Circuit
Register File
4 x 8 Register File
Design Summary ,[object Object]
Input Registers 8 Bit
Modeling : Behavioral  Modeling
Used Generic Statement, If – Else Statement
ACC  Reg. is 16 bit.
A load control Signal for enabling Storing function.
A Clear for reset the stored value.
Functions on Falling Edge. ,[object Object]
Multiply-Accumulate (MAC) What is MAC ? Multiplication followed by accumulation. Where is MAC Use ? Common operation in many digital systems, particularly those highly interconnected, like digital filters, neural networks, data quantizers, etc. What are the Features of MAC ? Multiplying two values ,then adding the result to the previously accumulated value, which must then be re-stored in the registers for future accumulations and Checking  for Overflow.
Basic MAC Unit Types  of  Multiplier: 1.Unsigned  Multiplier 2. Signed  Multiplier
Design Summary  MAC is 8-bit  A,B: 8 bit I/P Registers     			prod: 16 bit O/P Register 2 Control Signals :- Start and Stop Overflow Function, which might happen when the number of MAC operations is large. Signed Adder
Timing Diagram & Result
Arithmetic Logic Unit  It consists of arithmetic and logic unit. Arithmetic Operation : Addition Subtraction Logical Operation : And,or,not etc.. It performs the operations according to control signal given It is basic building block of microprocessor.
ALU  Architecture
Practical Modal
Design Summary  ALU is 16-bit  A,B: 16 bit i/p reg     y: 16 bit o/p register Control Signal is of 4-bitIt can support up to 16 different operations. There is a separate flag register for carry and borrow, parity and sign flag. Comparator is also included in this Alu design. ALU is working on falling edge clock.
Design Details: Addition 	variable q : std_logic_vector(16 downto 0); 	when "0000" => q := ('0'& a) + ('0'& b);               	y <= q(15 downto 0); 	if ( q(16) = '1' ) then f(3) <= '1';    --carry flag 	end if; 	f(1) <= '0';                            --Sign flag is zero                                                             Cont………
Cont…. Subtraction 	variable q : std_logic_vector(16 downto 0); 	when "0001" => q := ('0'& a) - ('0'& b); 	y <= q(15 downto 0); 	if ( q(16) = '1' ) then f(1) <= '1';  --sign flag  	end if; 	f(3) <= '0';                              --Carry flag is zero
Cont… Parity and Zero flag 	variable p,z : std_logic; 	We are checking results separately for parity and zero flag for all the operations. Parity flag (even): 	p := y(15) xor y(14)……..…xor y(0); 	if ( p = '0') then f(2) <= '1'; Zero flag: 	z := y(15) or y(14)……..…or y(0); 	if (z = '0') then f(0) <= '1';
Cont… Comparator 	when "1110" =>      if(a>b)then y<="0000000000000100";  elsif(a<b)then y<="0000000000000010"; elsif(a=b)then y<="0000000000000001"; 	f(3) <= '0';   	f(1) <= '0';  Here instead of extra resistor for comparator o/p, o/p resistery is used for comp o/pt to save one resister. At later stage we can use this results by using first 3 bit of o/p resister.
Cont… Other function 	when “case" => y <= a “function” b;                        	f(3) <= '0';   	f(1) <= '0'; Syntax  for functions other then addition, subtraction and comparator is like above. For these functions sign flag and zero flag is always zero.
Control Word for Different Functions ,[object Object]
1001 PassA
1010 Pass B
1011 NOT B
1100  Increment A
1101  Decrement B
1110  Compare A & B
1111  Reset o/p0000 Addition 0001 Subtraction 0010 AND 0011 OR 0100 NAND 0101 NOR 0110 NOT A 0111 XOR

Más contenido relacionado

La actualidad más candente

Soc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLMSoc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLM
Subhash Iyer
 
Embedded Systems Training Report
Embedded Systems Training ReportEmbedded Systems Training Report
Embedded Systems Training Report
Akhil Garg
 
Design of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLDesign of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDL
Vishesh Thakur
 

La actualidad más candente (20)

Microcontrollers 8051 MSP430 notes
Microcontrollers 8051 MSP430 notesMicrocontrollers 8051 MSP430 notes
Microcontrollers 8051 MSP430 notes
 
Soc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLMSoc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLM
 
Difference between microprocessor and microcontroller
Difference between microprocessor and microcontrollerDifference between microprocessor and microcontroller
Difference between microprocessor and microcontroller
 
LPC 2148 ARM MICROCONTROLLER
LPC 2148 ARM MICROCONTROLLERLPC 2148 ARM MICROCONTROLLER
LPC 2148 ARM MICROCONTROLLER
 
Soc lect1
Soc lect1Soc lect1
Soc lect1
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
 
Xilinx 4000 series
Xilinx 4000 seriesXilinx 4000 series
Xilinx 4000 series
 
Embedded system introduction
Embedded system introductionEmbedded system introduction
Embedded system introduction
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Pic microcontroller architecture
Pic microcontroller architecturePic microcontroller architecture
Pic microcontroller architecture
 
Embedded Systems Training Report
Embedded Systems Training ReportEmbedded Systems Training Report
Embedded Systems Training Report
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdf
 
Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
 
Design of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLDesign of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDL
 
VLSI
VLSI VLSI
VLSI
 
Dr.s.shiyamala fpga ppt
Dr.s.shiyamala  fpga pptDr.s.shiyamala  fpga ppt
Dr.s.shiyamala fpga ppt
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Session 2,3 FPGAs
Session 2,3 FPGAsSession 2,3 FPGAs
Session 2,3 FPGAs
 
DIgital clock using verilog
DIgital clock using verilog DIgital clock using verilog
DIgital clock using verilog
 

Destacado

AHK EE3900B Final Design Project Report Final
AHK EE3900B Final Design Project Report FinalAHK EE3900B Final Design Project Report Final
AHK EE3900B Final Design Project Report Final
Alexander Kremnitzer
 

Destacado (9)

AHK EE3900B Final Design Project Report Final
AHK EE3900B Final Design Project Report FinalAHK EE3900B Final Design Project Report Final
AHK EE3900B Final Design Project Report Final
 
System design methodology
System design methodologySystem design methodology
System design methodology
 
DSP Processor
DSP Processor DSP Processor
DSP Processor
 
DSP architecture
DSP architectureDSP architecture
DSP architecture
 
UNIT-I DIGITAL SYSTEM DESIGN
UNIT-I DIGITAL SYSTEM DESIGN UNIT-I DIGITAL SYSTEM DESIGN
UNIT-I DIGITAL SYSTEM DESIGN
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 
Mimo in Wireless Communication
Mimo in Wireless CommunicationMimo in Wireless Communication
Mimo in Wireless Communication
 
Traffic light controller
Traffic light controllerTraffic light controller
Traffic light controller
 
ALGORITHMIC STATE MACHINES
ALGORITHMIC STATE MACHINESALGORITHMIC STATE MACHINES
ALGORITHMIC STATE MACHINES
 

Similar a Dsp Datapath

8085 microprocessor(1)
8085 microprocessor(1)8085 microprocessor(1)
8085 microprocessor(1)
Reevu Pal
 
Alu design-project
Alu design-projectAlu design-project
Alu design-project
alphankg1
 

Similar a Dsp Datapath (20)

Blockdiagramof8085.ppt
Blockdiagramof8085.pptBlockdiagramof8085.ppt
Blockdiagramof8085.ppt
 
5th unit Microprocessor 8085
5th unit Microprocessor 80855th unit Microprocessor 8085
5th unit Microprocessor 8085
 
8051 MMD Chapter 1.ppt
8051 MMD Chapter 1.ppt8051 MMD Chapter 1.ppt
8051 MMD Chapter 1.ppt
 
c++
c++ c++
c++
 
Embedded System
Embedded SystemEmbedded System
Embedded System
 
Pin Diagram and block diagram 8085 .pptx
Pin Diagram and block diagram 8085 .pptxPin Diagram and block diagram 8085 .pptx
Pin Diagram and block diagram 8085 .pptx
 
8085 microprocessor(1)
8085 microprocessor(1)8085 microprocessor(1)
8085 microprocessor(1)
 
Architecture of 8051
Architecture of 8051Architecture of 8051
Architecture of 8051
 
Architecture of 8085
Architecture of  8085Architecture of  8085
Architecture of 8085
 
Mmai ppt
Mmai pptMmai ppt
Mmai ppt
 
8085 micro processor
8085 micro processor8085 micro processor
8085 micro processor
 
8085 microprocessor Architecture and Pin description
8085 microprocessor Architecture and Pin description 8085 microprocessor Architecture and Pin description
8085 microprocessor Architecture and Pin description
 
8085 (1)
8085 (1)8085 (1)
8085 (1)
 
Design of microcontroller CPU.pdf
Design of microcontroller CPU.pdfDesign of microcontroller CPU.pdf
Design of microcontroller CPU.pdf
 
8085-microprocessor
8085-microprocessor8085-microprocessor
8085-microprocessor
 
Alu design-project
Alu design-projectAlu design-project
Alu design-project
 
Seminar on Digital Multiplier(Booth Multiplier) Using VHDL
Seminar on Digital Multiplier(Booth Multiplier) Using VHDLSeminar on Digital Multiplier(Booth Multiplier) Using VHDL
Seminar on Digital Multiplier(Booth Multiplier) Using VHDL
 
8085 microprocessor Architecture and pin description
8085 microprocessor Architecture and pin description 8085 microprocessor Architecture and pin description
8085 microprocessor Architecture and pin description
 
IRJET- To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
IRJET-  	  To Design 16 bit Synchronous Microprocessor using VHDL on FPGAIRJET-  	  To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
IRJET- To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
 
Introduction to 8085 & it's description(includes basic lab experiments)
Introduction to 8085 & it's description(includes basic lab experiments)Introduction to 8085 & it's description(includes basic lab experiments)
Introduction to 8085 & it's description(includes basic lab experiments)
 

Dsp Datapath

  • 1. AMini Project SeminaronDSP DATAPATH M.Tech Microelectronics Manipal Institute of Technology By: Abhishek Tiwari B. Dhaval Kumar K .Sravan Kumar Rajkumar Patidar
  • 2. Introduction Datapath Architecture of Datapath Datapath in DSP Processors Modules using in Datapath Modules Function
  • 3.
  • 4. Hardware support for managing numeric fidelity:
  • 7.
  • 9.
  • 11. Datapath Second Main Part in Microprocessor. Contains Several Unit. It Referred RTL Design.
  • 13. A Simple DSP Processor
  • 15. Modules Registers MAC ALU Shifter Tristate Buffer Control Unit
  • 16. Registers Storing Multiple Bits Register File Synchronized by same Clock 8 Bit Register, 16 Bit Register
  • 19. 4 x 8 Register File
  • 20.
  • 23. Used Generic Statement, If – Else Statement
  • 24. ACC Reg. is 16 bit.
  • 25. A load control Signal for enabling Storing function.
  • 26. A Clear for reset the stored value.
  • 27.
  • 28. Multiply-Accumulate (MAC) What is MAC ? Multiplication followed by accumulation. Where is MAC Use ? Common operation in many digital systems, particularly those highly interconnected, like digital filters, neural networks, data quantizers, etc. What are the Features of MAC ? Multiplying two values ,then adding the result to the previously accumulated value, which must then be re-stored in the registers for future accumulations and Checking for Overflow.
  • 29. Basic MAC Unit Types of Multiplier: 1.Unsigned Multiplier 2. Signed Multiplier
  • 30. Design Summary MAC is 8-bit  A,B: 8 bit I/P Registers prod: 16 bit O/P Register 2 Control Signals :- Start and Stop Overflow Function, which might happen when the number of MAC operations is large. Signed Adder
  • 32. Arithmetic Logic Unit It consists of arithmetic and logic unit. Arithmetic Operation : Addition Subtraction Logical Operation : And,or,not etc.. It performs the operations according to control signal given It is basic building block of microprocessor.
  • 35. Design Summary ALU is 16-bit  A,B: 16 bit i/p reg y: 16 bit o/p register Control Signal is of 4-bitIt can support up to 16 different operations. There is a separate flag register for carry and borrow, parity and sign flag. Comparator is also included in this Alu design. ALU is working on falling edge clock.
  • 36. Design Details: Addition variable q : std_logic_vector(16 downto 0); when "0000" => q := ('0'& a) + ('0'& b); y <= q(15 downto 0); if ( q(16) = '1' ) then f(3) <= '1'; --carry flag end if; f(1) <= '0'; --Sign flag is zero Cont………
  • 37. Cont…. Subtraction variable q : std_logic_vector(16 downto 0); when "0001" => q := ('0'& a) - ('0'& b); y <= q(15 downto 0); if ( q(16) = '1' ) then f(1) <= '1'; --sign flag end if; f(3) <= '0'; --Carry flag is zero
  • 38. Cont… Parity and Zero flag variable p,z : std_logic; We are checking results separately for parity and zero flag for all the operations. Parity flag (even): p := y(15) xor y(14)……..…xor y(0); if ( p = '0') then f(2) <= '1'; Zero flag: z := y(15) or y(14)……..…or y(0); if (z = '0') then f(0) <= '1';
  • 39. Cont… Comparator when "1110" => if(a>b)then y<="0000000000000100"; elsif(a<b)then y<="0000000000000010"; elsif(a=b)then y<="0000000000000001"; f(3) <= '0'; f(1) <= '0'; Here instead of extra resistor for comparator o/p, o/p resistery is used for comp o/pt to save one resister. At later stage we can use this results by using first 3 bit of o/p resister.
  • 40. Cont… Other function when “case" => y <= a “function” b; f(3) <= '0'; f(1) <= '0'; Syntax for functions other then addition, subtraction and comparator is like above. For these functions sign flag and zero flag is always zero.
  • 41.
  • 47. 1110 Compare A & B
  • 48. 1111 Reset o/p0000 Addition 0001 Subtraction 0010 AND 0011 OR 0100 NAND 0101 NOR 0110 NOT A 0111 XOR
  • 49.
  • 50. Shifter The shifter is used for shifting bits one position either to the left or to the right. The Shifter operations are referred to either as shifting or rotating depends on how the end bits are shifted in or out. Here Shown Simple Shifter :
  • 52. Design Summary Word Length: 16 bit Modeling : Behavioral Modeling Used case statements and if else statements. A ‘shift_sel’ 2-bit control Signal for selecting type of shift. Functions on Falling Edge. Operations: 00 – No Operation 01 – Left shift by 8 bit 10 – Right shift by 8 bit 11 – Rotate Right by 8 bit
  • 54. Tristate Buffer A Tristate Buffer, as the name suggest, has three states: 0, 1 & Z. Z : Represents High Impedance State
  • 56. What is Control Unit The Control Unit inside the Processor is a FSM. By Stepping through a Sequence of States, it controls the operation of Datapath. Contains Next – State circuit, State Memory Register & Output Logic circuit. Control Inputs, Status Signals. Control output, Control Signal or Control Word.
  • 59. Control Signals For Registers: Load and Clear Signals 1 bit Start signal for enable & disable the operation of MAC unit Shifter operation depend on shift_sel signal. ALU has 4 bit control signal for performing operation alu_sel. Tristate Buffer has Out_en control signal.
  • 60.
  • 62. Conclusion Designed to support high-performance, repetitive, numerically intensive tasks. Ability to complete several accesses to memory in a single instruction cycle. Performance, cost, integration, ease of development, power consumption, and other factors for the application at hand. Datapath functions have become the dominant logic type in complex logic devices.
  • 63. Applications From radar systems to consumer electronics. DSP data path synthesis for low-power applications. Application-specific function units.
  • 64.
  • 65. Circuit design with VHDL by Volnei A. Pedroni
  • 66.