SlideShare una empresa de Scribd logo
1 de 66
1
Optical Design for Nano
Lithography
Ehud Ben-Ari
Supervised By: Professor Shlomo Hava
2
Table of Contents
Abstract...........................................................................................................................4
Optical Design for -Nano Lithography............................................................................4
1 .Theoretical Background Lithography and its challengesin IC process.............................5
1.1 The lithography process:.........................................................................................6
1.2 Lithography role in the IC (Integrated Circuit) fabrication.........................................8
1.3 Optical lithography challenges ................................................................................9
1.3.1- Resolution.......................................................................................................9
1.3.2 Diffraction:..................................................................................................... 12
1.4 Lithography methods to achieve high resolution ................................................... 14
1.4.1 Proximity Optical Lithography......................................................................... 15
1.4.2 Contact Optical Lithography............................................................................ 16
1.4.3 Projection Optical Lithography........................................................................ 16
1.4.4 Phase-Shifting Masks...................................................................................... 17
1.4.5 Increasing the NA (numerical aperture)- Immersion lithography...................... 19
1.4.6 Lowering the wave length λ- Ultra-Violet Lithography..................................... 20
2. EUVL- Extreme Ultra Violate Lithography.................................................................... 23
2.2 Multi Layers Optics:.............................................................................................. 27
2.2.1 Mo/Si ML Requirements:................................................................................ 29
2.3 - ML Design for EUV systems................................................................................. 30
2.3.1 Refractive index in the EUV spectral region:.................................................... 30
2.3.2 Reflectivity in EUV spectral region: ................................................................. 31
3. Lithography Photo masking ........................................................................................ 33
3.1 DUV Light Photo Masks and masking concept........................................................ 33
3.1.2 Mask substrate and absorber:......................................................................... 34
3.1.3 Pellicles.......................................................................................................... 35
3.1.4 Critical parameters for masks ......................................................................... 36
3.1.5 Mask transmission and thermal expansion...................................................... 37
3.1.6 Mask reflectivity............................................................................................. 37
3.1.7 Mask flatness................................................................................................. 38
3.1.8 Defect level.................................................................................................... 38
3.2 EUV Mask and masking concept............................................................................ 39
3
3.2.1 Mask substrate and surface requirements:...................................................... 40
3.2.2 Mask fabrication and defects requirements .................................................... 41
3.2.3 Absorber stack and Backside conductivity coating........................................... 45
3.2.4 Shadowing Affect........................................................................................... 48
3.2.4 Bossung curve asymmetry and focus shift....................................................... 49
4. Simulation.................................................................................................................. 51
4.1. System Design..................................................................................................... 51
4.2. Reflectivity in EUV spectral region simulation....................................................... 54
4.4. Paper Observations and conclusions .................................................................... 56
4.5 Simulation Code ................................................................................................... 60
5. Reference................................................................................................................... 65
4
Abstract
Optical Design for -Nano Lithography
In today’s IC (integrated circuit) semiconductor, there are over a billion transistors.
The optical lithography process (photolithography) is one of the key processes in
reducing the limiting transistor dimensions (critical dimensions) onto silicon. The
dominant manufacturing technology today uses wavelengths of 193/248nm, with
which it is possible to achieve critical dimensions of up to 100nm (after additional
optical and process solutions). The radiation, which is transferred through a mask,
will pass through a series of lenses and will be focused on the light sensitive polymer
(photo sensitive polymer/photoresist), which covers the silicon wafer.
Decreasing critical dimensions further will require the use of wavelength in the EUV
spectral region, 10-14nm. Changing radiation from DUV to EUV poses challenges
and optical adaptations, for example: lenses, photoresist and masks.
This paper will include an overview of several lithography methods being used today
and a few that are under development stages ("NGL-" Next Generation
Lithographies). It will also describe and analyze the planning challenges of EUVL
Masks for mask technologies used today. Based on this paper, a simulation of a
system will be presented, which will show the changes in the EUVL (Extreme UV
Lithography) System output based on a user input.
5
1 .TheoreticalBackground Lithography and its challenges in IC
process
6
1.1 The lithography process:
Lithography creates a resist image on a pattern- usually a silicon wafer. The
subsequent etching, lift off, or ion implantation process is masked by the resist image
at the areas dictated by the lithography mask. Hence, the thin-film polymer material
on the wafer (called photo resist) is selectively removed, built up, or its characteristics
are selectively altered.
Replicating the mask pattern produces the resist image (except when mask making or
direct writing on a wafer which will not be discussed in this paper).
The condenser lens collects light from the source and illuminates the mask pattern.
Light then passes through the imaging lens to form an aerial image and to selectively
expose the resist. After the development process, in which the exposed parts (in a
positive type PR) are dissolved in a solution, the resist image is produced.
Figure 1.1- Basic optical lithography process
Schematic flow of the lithography process, through develop and etch.
7
Schematic flow of the lithography process, through develop and ion implant.
A typical sequence of lithographic processing steps, illustrated for
a positive resist
8
Representation of the integration of the various lithographic process steps into a
photolithography cell.
1.2 Lithography role in the IC (Integrated Circuit) fabrication
Lithography is an important part of semiconductor manufacturing technology because
it is needed for every masking level. In a typical 0.13 µm CMOS integrated circuit
fabrication run with four metal layers, there are more than 30 masking levels using
474 processing steps, in which 212 steps are related to lithographic exposure, and 105
steps are related to pattern transfer using a resist image.
The lithography process is often the limiting factor of entering the next technology
node. For every node, the minimum feature sizes and their separations are reduced by
a factor of √2. Therefore, the succeeding generation to 1-µm lithography is 0.7 µm,
followed by 0.5 µm, 0.35 µm, 0.25 µm, 0.18 µm, 0.13 µm, 90 nm, 65 nm, 45 nm, 32
9
nm, 22 nm, etc., necessitating improvements in resolution and overlay accuracy that
call for many of the following improvements: numerical aperture (NA) increase,
wavelength reduction, suppression of reflections, better resists, better masks, higher
stepping accuracy, higher-precision alignment, less lens distortion, better wafer
flatness, and many others.
Over the past three decades, the length-scales of the component devices have
decreased from around 15μm to around 30nm. However, the means by which the
components are manufactured, optical lithography remains principally the same.
Optical lithography comprises the formation of images with visible radiation in a
photo resist using proximity or projection printing. These methods rely upon a mask
to form the beam for the necessary image to be formed on the resist.
1.3 Optical lithography challenges
1.3.1- Resolution
VLSI devices consist of highly complicated and dense circuit patterns. Lithography,
supported by optical and process techniques, can offer resolutions of around 100nm.
However, as demand increases for smaller devices, the resolution offered by current
techniques will not be satisfactory.
Optical lithography, in its current form, is bound by some key parameters – numerical
aperture, depth of field, and resolution. The unexposed parts of the resist gradually
receive radiation because of diffraction in the system and scattering in the resist and
substrate layers, and hence, this affects the resolution of the system.
Because the mask essentially acts as a set of slits, we get diffraction within the optical
lithography system. Waves from the light source are emitted spherically. Therefore,
we also get spherical waves from the aperture. If the screen (or in this case, the resist)
is close to the aperture (or mask), then the curvature of the spherical wave is
important. This results in an image which will be similar to the aperture, and is known
as Fresnel diffraction. As the distance between the aperture and the screen is
increased, the image will look less like the aperture. Beyond a certain distance, known
as the Rayleigh distance (RD), there will be little change in the shape of the image,
10
only its size will change. This is due to the curvature of the spherical wave becoming
negligible. This diffraction regime is known as Fraunhofer diffraction (see diffraction
in next section).
Rayleigh Distance is given by:
𝑅 𝐷 = 𝑎2
𝜆⁄
Where ‘a’ represent the aperture and λ is the wavelength.
Two neighbored apertures will be distinguishable (resolution), when the maximum
intensity of one set of Airy's Rings coincides with the first minima of the second set
of Airy's Rings.
The intensity of Airy’s Rings from two neighboring apertures. The combined profile
is shown as a dotted line. This figure shows the Rayleigh resolution limit, equal to a
distance of
𝐷1
2
on the screen (a resist in the case of lithography).
After some optical manipulation and calculation we receive the below resolution
equation:
𝑅 =
𝑘1 𝜆
𝑛 sin 𝛼
=
𝑘1 𝜆
𝑁𝐴
11
Here, R is the minimum resolvable separation (
𝐷1
2
), k1 is a constant dependent on the
absorbing properties of the resist, and the type of radiation used in the system, λ is the
wavelength of the exposing radiation and n is the refractive index of the resist. The
quantity nsinα is usually referred to the Numerical Aperture (NA).
The image formed in an optical system is only brought to focus in the appropriate
plane (or more accurately, a sphere).
The depth of field is the distance over which the image retains an acceptable focus,
The depth of field of an optical system, represented here as DOF, is the distance either
side of the plane of optimum focus over which the image retains an acceptable focus
𝐷𝑂𝐹 =
𝑘2 𝜆
𝑁𝐴2
Comparing the resolution equation to the DOF one, it’s clear that the Resolution and
depth of field are in conflict. Depth of field is improved (increased) by increasing the
wavelength of the radiation and by decreasing the numerical aperture. However,
decreasing the wavelength and increasing the numerical aperture improve resolution.
Therefore, a compromise is needed between resolution and depth of field. With
12
today's equipment, the positioning of the resist within the lithographical system can be
accurately controlled, and depth of field becomes less of an issue. Obviously, the
industry cannot neglect the depth of field of the system, but resolution is the primary
factor.
1.3.2 Diffraction:
The first and most basic phenomenon occurring in projection imaging and affecting
resolution is the diffraction of light. Diffraction is usually thought of as the bending of
light as it passes through an aperture, which is certainly an appropriate description for
diffraction by a lithographic mask. More correctly, diffraction theory simply describes
how light propagates, including the effects of the surroundings (boundaries).
A simple interpretation of the physical principle behind diffraction is best captured by
Huygens principle: any wavefront can be thought of as a collection of radiating point
sources. The new wavefront at some later time can be constructed by summing up the
wavefronts from all of the radiated spherical waves.
Huygens’ principle: any wavefront can be
thought of as a collection of point sources radiating spherical waves
13
For a simple chrome-glass mask, the mask electric-field transmittance for a normally
incident plane wave of illumination is binary: tm(x,y) is 1 under the glass and 0 under
the chrome. Let the x′–y′ plane be the diffraction plane, that is, the entrance to the
objective lens, and let z be the distance from the mask to this diffraction plane. We
will also assume monochromatic light of wavelength λ and that the entire system is in
a medium of refractive index n. Defining the spatial frequencies of the diffraction
pattern (which are simply scaled coordinates in the x′–y′ plane) as 𝑓𝑥 =
𝑛𝑥′
𝜆𝑧
and 𝑓𝑦 =
𝑛𝑦′
𝜆𝑧
, the electric field of our diffraction pattern, Tm(fx,fy), is given by the Fraunhofer
diffraction integral:
𝑇 𝑚( 𝑓𝑥, 𝑓𝑦) = ∬ 𝐸𝑖( 𝑥, 𝑦) 𝑡 𝑚(𝑥, 𝑦)𝑒−2𝜋𝑖(𝑓𝑥 𝑥,+𝑓𝑦 𝑦)
∞
−∞
𝑑𝑥 𝑑𝑦
Where Ei is the electric field incident on the mask (and is just 1 for our unit
amplitude, normally incident plane wave). It can be seen that the diffraction pattern
(the electric field distribution as it enters the objective lens) is the Fourier transform of
the mask pattern transmittance.
The Fourier transforms for normally incident plane wave illumination (Ei = 1) of
isolated space gives rise to a sinc function (
sin 𝑥
𝑥
) diffraction pattern, and the equal
lines and spaces yield discrete diffraction orders:
14
Two typical mask patterns, (a) an isolated space and (b) an array of equal lines
and spaces, and the resulting Fraunhofer diffraction patterns assuming normally incident
plane wave illumination. Both tm and Tm represent electric fields
Where δ is the Dirac delta function, w is the spacewidth and p is the pitch (the
linewidth plus the spacewidth). The delta function is the mathematical representation
of a point of light.
1.4 Lithography methods to achieve high resolution
As mentioned above, there is a need to keep lowering the printed dimension of the
transistor to improve the IC device (according to Moors low). In order to do that, a
lithography method which allow as low as possible CDs (critical dimensions), with
good resolution should be chosen.
From Rayleigh’s resolution equation it can be concluded that the most important
variables to change in order to improve resolution are the wavelength and the
numerical aperture (λ and NA). However, we can see that a greater improvement in
resolution will be given by decreasing the wavelength of the exposing radiation (as
15
we want to make R as small as possible). Therefore, in order to improve the critical
dimensions on the resist λ has to be beyond optical wavelengths with a view to using
ultra-violet and X-ray radiation in lithographical systems.
Phase-shifting masks are under development within the industry, and lasers are being
used within the industry to give more coherent light sources, which in turn results in a
more uniform resolution across the resist.
At present, most lithography systems for LSI circuits are using partially coherent light
from a KrF laser (λ=248nm) or ArF (λ=193nm) in combination with some resolution
enhancement techniques including phase-shifting masks and advanced resists.
The microelectronic industry is constantly striving for reductions in component sizes.
In order to achieve this, the lithographic industry either has to improve optical
lithography by using phase-shifting masks, or look to shorter wavelength radiation to
improve resolution.
Another element to keep in mind is that the lithographic method has to support high
volume manufacturing, meaning that even if the specific method bring good
resolution results it might not be usable in the industry, as it is very slow to apply on
the wafer.
1.4.1 Proximity Optical Lithography
Proximity optical lithography is relatively simple, as it requires no image formation
between the mask and the resist. The proximity system is essentially made up of a
light source, a condenser, a mirror, a shutter, a filter (the mask) and the stage on to
which the resist is positioned. In proximity lithography, the mask-resist separation is
usually around 20 to 50μm, and leads to an acceptable resolution for today's devices
of around 500nm. However, diffraction occurs between the mask and the resist, and
so we can increase resolution by narrowing the mask resist separation. Indeed, a much
better resolution would be achieved if the resist and the mask were in contact.
16
1.4.2 Contact Optical Lithography
If we bring the mask into contact with the resist, we remove any diffraction that may
occur between the mask and the resist. By doing this, the resolution of the system is
increased without having to change the exposing wavelength or the numerical
aperture of the system.
In contact lithography, the mask is pressed against the resist with a pressure of
typically around 0.3atm. The system is then exposed using light with a wavelength of
around 400nm. This makes resolution of around 0.5μm possible, but the very nature
of contact between surfaces means that resolution will vary across the resist. The
contact also results in deformity of both the resist and the mask, and therefore the
mask can only be used for a short time (proximity lithography). However, provided
the masks are relatively inexpensive to produce, and the deformity to the resist is
acceptably small, contact lithography is a better method to use than proximity
lithography as it produces an improved resolution.
1.4.3 Projection Optical Lithography
Projection optical lithography offers higher resolution than proximity and contact
printing. Projection printing relies on an image formation system between the mask
and the resist.
Because the beam is focused between the mask and the resist, the resolution of the
system is good compared to the above techniques. The projection system consists of
several sub-systems, each of which can be manipulated to improve the overall
resolution of the system. The numerical apertures of the lenses can be increased up to
around 1.5, the practical limit (sinα term has a maximum of 1, n typically 1.5).
Substance Velocity of
Light kps
Refractive
Index
Space 299,792 1.00
Air 299,890 1.00
Water 225,442 1.33
Glass 197,349 1.52
Diamond 124,083 2.42
17
Refractive index for few materials- Calculated based on ((𝑆𝑝𝑒𝑒𝑑 𝑜𝑓 𝑙𝑖𝑔ℎ𝑡 𝑖𝑛 𝑎𝑖𝑟)⁄
(𝑆𝑝𝑒𝑒𝑑 𝑜𝑓 𝑙𝑖𝑔ℎ𝑡 𝑖𝑛 𝑀𝑒𝑑𝑖𝑢𝑚))
1.4.4 Phase-Shifting Masks
For a conventional chrome-on-glass mask, the idealized mask transmittance is
considered to be binary: the light is 100 % transmitted through the glass areas and 100
% blocked by the chrome. The resulting the electric field transmittance function
tm(x,y) that is either 0 or 1 (and thus the term ‘binary’ mask to describe this type of
transmission). A phase-shifting mask (PSM) modifies not only the amplitude of the
transmitted electric field but the phase of the transmitted light as well.
The principle of phase-shifting masks: The intensity received by the resist in the
region between the two intensity peaks becomes negligible. Therefore, we can bring
18
the peaks closer together, and therefore improve the resolution of the system
(Intensity is proportional to E2).
Two nearby regions of the mask transmit 100 % of the monochromatic light, but
experience different optical path lengths. In one region, the light passes through extra
glass (or fused silica) of thickness d and refractive index ng. In a nearby region, the
light travels through air of the same thickness (with refractive index of 1).
The phase difference between two plane waves traveling perpendicularly through the
mask will be:
∆∅ = 2𝜋𝑑(𝑛 𝑔 − 1)/𝜆
By adjusting the thickness of this extra layer of glass, 1800 phase difference between
the two waves can be obtained:
𝑑180 =
𝜆
2(𝑛 𝑔 − 1)
19
1.4.5 Increasing the NA (numerical aperture)- Immersion lithography
Typically, the projection optics and the wafer stage occur in air or in a vacuum, in
order to increase resolution, which means the refractive index n is 1.0 and NA = sinθ.
The numerical aperture is, therefore, entirely decided by the size of the projection lens
and cannot be bigger than 1, and more practically is ≤ 0.93. Immersion technology
provides another way to increase the NA: by increasing n. The gap between the last
lens element and the resist can be filled with a liquid and all liquids have much higher
refractive indices n than air.
20
n – Refractive index (g-glass, f- liquid medium, r-substrate, 0-air)
This subject will be discussed in details in this paper.
1.4.6 Lowering the wave length λ- Ultra-Violet Lithography
In order to keep pace with the demand for the printing of ever smaller features,
lithography tool manufacturers have found it necessary to gradually reduce the
wavelength of the light used for imaging and to design imaging systems with ever
larger numerical apertures.
Moving forward according to Moors law, and examining the next generation
lithography (NGL) techniques by the largest companies, shows that there is high
likelihood, for EUV technology to be chosen as the successor of optical lithography.
Shortening the wavelength from optical to ultra-violet offers a viable alternative to
optical lithography as the requirement for smaller circuit patterns increases beyond
the present capabilities of optical lithography. Extreme Ultra-Violet (EUV)
lithography uses the same principle as the projection optical system. Using ultra-violet
wavelengths of 11-13nm gives a resolution which is significantly better than the
optical system.
Using EUV lithography compared to 193nm lithography in use today, present few
new challenges:
The absorption of radiation at this short wavelength is very strong.
Therefore, lens-based refractive optics cannot be used in this lithographic
system. Instead, a reflective optical system must be used. Multi-layer devices
which rely on interference for reflection must be used. In the U-V region,
21
these devices usually have a reflectivity of only 60-70%, and hence the
number of "mirrors" in the system must be kept to a minimum or the intensity
level reaching the resist will be insufficient. The requirement for fewer optical
components means that asymmetric mirrors need to be used. Such mirrors
need to be extremely precise, with errors of the order of 0.1nm. The multi-
layer reflectors consist of a large number of alternating layers of materials
having dissimilar optical properties. They provide a constant reflectivity when
the thickness of each layer is λ/2.
Masks- EUVL masks are reflective. They consist of a patterned absorber of
EUV radiation placed on top of a multi layer reflector deposited on a robust
and solid substrate. The reflectance spectrum of the mask must be matched to
that of the multi layer-coated mirrors in the light path.
Taken from: http://www.nist.gov/pml/div685/extreme-uv-lithography.cfm
22
The source of the U-V radiation- Radiation of this wavelength is more
energetic than visible light, and therefore we must have higher-powered
energy sources to produce the U-V radiation. Eventually a source will be
required that reliably provides sufficient power to yield adequate wafer
throughput in a manufacturing tool.
EUV Absorbent Resists- The main problem to be confronted in developing a
satisfactory photoresist for EUVL is the strong absorption of EUV radiation
by all materials. The absorption depth in standard organic resists used today is
less than 100nm. EUV resists will most likely be structured so that printing
occurs in a very thin imaging layer at the surface of the resist.
23
2. EUVL- Extreme Ultra Violate Lithography
Continued improvements in optical projection lithography have enabled the printing
of ever finer features, the smallest feature size decreasing by about 30% every two
years. This has allowed the integrated circuit industry to produce ever more powerful
and cost-effective semiconductor devices. On average, the number of transistors in an
integrated circuit has doubled every 18 months. Currently, the most advanced
lithographic tools used in high-volume manufacture employ deep-ultraviolet (DUV)
radiation with a wavelength of 193 nm to print features that have line widths as small
as 100 nm.
Potential successors to optical projection lithography are being aggressively
developed. These are known as “Next-Generation Lithographies” (NGLs). EUV
lithography (EUVL) is one of the leading NGL technologies (others include X-Ray
lithography, ion beam projection lithography, and electron-beam projection
lithography).
In many respects, EUVL may be viewed as a natural extension of optical projection
lithography since it uses short wavelength radiation (light) to carry out projection
imaging. In spite of this similarity, there are major differences between the two
technologies. Most of these differences occur because the properties of materials in
the EUV portion of the electromagnetic spectrum are very different from those in the
visible and UV wavelength ranges.
EUVL provides photon based single exposure technique that resembles current optical
lithography techniques. With 14X reduction in wavelength compared to 193nm
technology, EUVL provide significant advantage in diffraction limited resolution.
But with such a dramatic drop in wavelength, the imaging system is substantially
different from existing systems. First, any substance heavily absorbs EUV light, even
gases- the optical path must be in a vacuum. Second, following its heavy absorption
characteristics, there is no transmitting material- EUV imaging depends on reflection.
Generating EUV photons from hot and dirty plasma sources requires new materials
(for long optic lifetime), and new optical design for efficient collection of the EUV
light.
24
The need for reflective optics places a major challenge on the mask industry as for the
defect free and cost effective requirements. In addition low projection optics
aberration for EUV light requires polishing to a small fraction of the 13.5nm exposure
wavelength.
These challenges, along with few solutions will be discussed in this paper.
Schematic diagram of EUVL system
Taken from: http://spie.org/x51899.xml?pf=true&ArticleID=x51899
25
A photo of a real EUVL system: ASMLs NXE:3100
Taken from: http://www.mysemicondaily.com/blog/2010/7/6/asmls-pre-production-euv-
tool-achieves-first-light.html
2.1 EUVL Optical design configuration
Improving lithography system as mentioned previously is guided by the need to
receive lower resolution and higher depth of focus.
This is represented by the equations:
RES = k1 λ/ NA
And
DOF = k2 λ/ (NA)2
Where λ is the wavelength of the radiation used to carry out the imaging, and NA is
the numerical aperture of the imaging system. These equations show that better
resolution can be achieved by reducing λ and increasing NA. The penalty for doing
this, however, is that the DOF is decreased.
The case k1 = k2 = ½ corresponds to the usual definition of diffraction-limited
imaging. In practice, however, the acceptable values for k1 and k2 are determined
experimentally and are those values which yield the desired control of critical
dimensions (CD’s) within a tolerable process window.
26
Lithography system performance has a major impact on determining these values.
Other impacting factors include the contrast of the resist being used and the
characteristics of any etching processes used. In the past, values for k1 and k2 greater
than 0.6 have been used comfortably in high-volume manufacture.
L.H.S Plot: The k1 and DOF values associated deferent lithography methods assuming k2 = k1 and NA =
0.6
R.H.S plot: The dashed black line shows the location of points corresponding to a resolution of 100
nm. The solid red line shows the location of points for which the DOF is 0.5 µm. Points in the region
between the two lines correspond to situations in which the resolution is 100 nm or better, and the DOF
is 0.5 mm or longer (faverable setuation).
Taken from: Intel Technology Journal Q3’98- “EUV Lithography—The Successorto Optical
Lithography?” Page 2
k1 Values for deferent NA in the EUV region
However, it has been necessary to extend imaging technologies to ever better
resolution by using smaller values for k1 and k2 and by accepting the need for tighter
27
process control. EUVL is capable of printing features of 100 nm and smaller while
achieving a DOF of 0.5 µm and larger.
2.2 Multi Layers Optics:
If wavelengths of light in the range of 11–14 nm is used, it is possible to construct
reflecting optics of moderate efficiency (> 60%) using multilayer films. This opens up
the possibility of projection optics and reduction imaging. With a numerical
aperture of 0.25, a wavelength of ~13.5 nm, and a k1 value of 0.6, the resolution is
𝑅𝐸𝑆 =
𝑘1 𝜆
𝑁𝐴
= 32𝑛𝑚
Multilayer
film stack
Peak
wavelength
of normal
incidence
Reflectance
Mo/Si 13.4 67.50%
Mo2C/Si 13 61.80%
Mo/Be 11.3 70.20%
MoRu/Be 11.3 69.30%
Reflection occurs at interfaces between materials of different indices of refraction.
The larger the difference in refractive index the greater the reflectivity. At
wavelengths < 50 nm, all materials have indices of refraction ≈ 1. Thus, it is difficult
to create a highly reflective interface. At EUV wavelengths, it has proven possible to
make mirrors with moderate reflectivity, in the range of 60–70%, by the use of
multilayers. Multilayer reflectors are made by depositing alternating layers of high-Z
and low-Z materials, giving a small but effective difference between refractive indices
at each interface. By making the periodicity d of the multilayer stack satisfy the Bragg
condition, the net effect of small reflectivity at each interface is moderately
high reflectivity overall when the stack has a sufficient number of layers:
𝑑 =
𝑚𝜆
2𝑐𝑜𝑠𝜃
28
Taken from: http://spie.org/x33220.xml
The theoretical reflectance properties of a normal incident MO/Si (Molybdenum-
Silicon) ML are shown in the figure below. These coatings consist of a large number
of alternating layers of materials having dissimilar EUV optical constants, and they
provide a resonant reflectivity when the period of the layers is approximately λ/2.
If not designed properly, the ML can induce amplitude and phase error at the exit
pupil of the imaging system. These errors can bring the system to have poor critical
dimension uniformity across the field.
29
The most common EUV multilayers are made of alternating layers of Mo and Si, and they function
best for wavelengths of about 13 nm. Curve showing the normal incidence reflectivity and phase upon
reflection of a MoSi ML as a function of wavelength. The coating is designed to have peak
reflectivity at 13.4 nm
The EUV reflectivity is only in the order of a few percent. Reflectivity is built up with
a multilayer stack consisting of 40- to 50-layer pairs of Mo and Si, to achieve 65~70%
reflectivity, enabling an all reflective optical system that includes the illumination
optics, the mask, and the imaging optics.
2.2.1 Mo/Si ML Requirements:
The primary materials-dependent requirements for Mo/Si interference coatings are
maximum reflectivity, sufficient stability, and minimum residual stress. Controlling
these characteristics is critical for the efficient operation of an EUVL system. A high
peak reflectivity of Mo/Si multilayer mirrors is extremely important. Considering an
all-reflective EUVL system with Mo/Si mirrors (illumination optics, projection optics,
and masks), the difference between two sets of multilayer mirrors with respectively,
70 and 68 percent reflectivity results in a 30 percent difference in integral light
intensity at the wafer plane (the peak reflectivity is not the only key factor). The
power on the wafer is given by the integral of the spectral power of the source and the
multiplied reflectivity from the N mirrors of the EUVL system:
𝑃𝑤 = ∫ 𝑃𝑠(𝜆)∏ 𝑅 𝑘( 𝜆) 𝑑𝜆
𝑛
𝑘=1
Where Pw is the power delivered to the wafer, Ps is the spectral power of the source,
and Rk is the reflectivity of the k-mirror.
30
Table 2.1- Main Requirements for Mo/Si Multiayer Coatings in EUVL Applications
2.3 - ML Design for EUV systems
The interaction between electromagnetic radiation and media is described in terms of
the complex refractive index 𝑛̃ = n + iβ, which is a function of the wavelength λ. The
real (n) and imaginary (β) parts of ñ are termed the refractive index and the extinction
coefficient, respectively. The propagation of a monochromatic electromagnetic wave
with a wavelength λ through an isotropic and homogeneous medium in the x direction
is described by:
𝐸( 𝑥) = 𝐸0 exp (−
2𝜋𝛽𝑥
𝜆
) exp[
2𝜋𝑖
𝜆
(𝑛𝑥 − 𝑐𝑡)]
Where E0 is the field amplitude at x = 0, and c is the speed of light in vacuum.
2.3.1 Refractive index in the EUV spectral region:
In the EUV spectral region, the refractive index n of all materials is less than unity by
a small amount δ(n = 1 − δ) and characterizes the refractive properties of a medium or
the phase speed of a wave. n (δ) and β are referred to as the optical constants of a
medium. In the EUV region, the complex refractive index can be expressed by the
complex atomic scattering factor 𝑓 = ( 𝑓1 − 𝑖𝑓2)with:
31
𝑛̃ = 𝑛 + 𝑖𝛽 = 1 − 𝛿 + 𝑖𝛽 = 1 −
𝑁 𝑎 𝑟𝑒 𝜆2
2𝜋
(𝑓1 − 𝑖𝑓2)
Where Na is the number of atoms per unit volume, re = 2.82 × 10−15 m is the classic
radius of an electron, and λ is the wavelength.
Figure 2.3.1- Atomic scattering factors ( f1 and f2) and the optical constants (δ and β)
for silicon as a function of the wavelength in the EUV spectral region (5-20nm).
In the EUV spectral region, all materials become more absorbing and more refracting
with increasing wavelength.
2.3.2 Reflectivity in EUV spectral region:
The amplitude reflection coefficients rs and rp for s- and p-polarization, at the
boundary of two materials with complex refractive indices ñ1 and ñ2 are obtained
from Fresnel equations and are given by:
𝑟𝑠 =
𝑛1̃𝑐𝑜𝑠𝛼1 − 𝑛2̃𝑐𝑜𝑠𝛼2
𝑛1̃𝑐𝑜𝑠𝛼1 + 𝑛2̃𝑐𝑜𝑠𝛼2
𝑟𝑝 =
𝑛1̃𝑐𝑜𝑠𝛼2 − 𝑛2̃𝑐𝑜𝑠𝛼1
𝑛1̃𝑐𝑜𝑠𝛼2 + 𝑛2̃𝑐𝑜𝑠𝛼1
α1 and α2 are the incidence and reflection angles.
32
From Snell law: 𝑛1̃𝑠𝑖𝑛𝛼1 = 𝑛2̃𝑠𝑖𝑛𝛼2
Normal incident reflection coefficient:
𝑟┴ =
𝑛1̃ − 𝑛2̃
𝑛1̃ + 𝑛2̃
For EUVL Systems The reflectivity of the interface between vacuum (n = 1) and a
material with complex refractive index ñ = 1 − δ + iβ (where δ, β << 1) will be:
𝑅┴ = | 𝑟┴|2
=
𝛿2
+ 𝛽2
(2 − 𝛿)2 + 𝛽2
≈
𝛿2
+ 𝛽2
4
A higher reflectivity can be achieved by using a small grazing angle θ (θ =90° − α).
Polarization phenomenon.: Rs reflectivity increases monotonically from normal to
grazing incidence, whereas Rp shows a dip at α = 45 degrees. The Rp minimum
occurs at Brewster’s angle (αB) where the refracted and the reflected beams become
perpendicular. Because the refractive index of all materials in the EUV spectral range
is very close to unity, the minimum of Rp always appears close to 45-degree
incidence.
Total reflection: occurs if the radiation penetrates from high- to low-refractive index
material (n1 > n2). For grazing angles θ below the critical angle θC, the refracted
beam becomes parallel to the interface, and the reflectivity reaches 100 percent.
33
3. Lithography Photo masking
This paper will discuss the improvements and challenges when moving towards EUV
ML reflection masking, compared to the widely used Chrome on glass reticles.
In order to discuss EUV challenges, a short review of DUV Photo Masking concept is
needed (DUV Lithography is the controlling technology in IC manufacturing-
193/248nm).
3.1 DUV Light Photo Masks and masking concept
A photomask (mask) consists of patterned absorbers and/or phase shifters delineated
on a transparent substrate. According to the presence or absence of the absorbers and
phase shifters, as well as the number of levels of these patterning materials, the
photomask can be classified generically into six main types:
1. Binary intensity masks (BIM)—This is the most commonly used mask
type. Absorbers are placed in various areas of the mask, according to the
circuit pattern. The absorber completely blocks light as it hits the mask.
Alternately, light passes through the mask where no absorbers exist.
2. Binary phase mask (BPM)—There is no absorber on the mask. The only
pattern-dependent variation on the mask is a 180-deg phase shift. This is
typified by an unattenuated (chromeless) Phase Shift Mask- as explained
in page 10.
3. Binary complex mask (BCM)—The absorber passes or blocks light. The
phase shifter shifts light by 180 deg. There are phase-shifted and
nonphase-shifted areas on the mask.
34
4. Multilevel intensity mask (MIM)—An intensity mask may contain many
gray levels for specific applications, such as an exposure calibration mask
that contains many calibrated gray levels to facilitate rapid and economical
determination of the exposure dosage.
5. Multilevel phase mask (MPM)—The phase shifters produce many levels
of phase on the mask; presumably, 0 deg, 90 deg, and 180 deg, or 0 deg,
60 deg, 120 deg, and 180 deg.
6. Multilevel complex mask (MCM)—Either the absorber or the phase shifter
is multilevel. The latter is exemplified by the AltPSM in combination with
multilevel phase-shifting edges.
3.1.2 Mask substrate and absorber:
The most commonly used substrate material for mask in the UV region is fused silica.
35
1. Its thermal expansion coefficient at 0.5 ppm/º C is an order of magnitude
smaller than that of other candidates.
2. Fused silica is transparent from the visible region to below 200 nm. It is usable
for g-line (435.83 nm), i-line (365.02 nm), KrF (248.35 nm), and ArF (193.39
nm) wavelengths.
The mask absorber material is usually chromium because of its hardness and low
thickness needed for full absorption.
MoSi2 is primarily used as the phase-shifting absorber for the AttPSM. Its property
can be fine tuned to exhibit a 6% transmission and a 180-deg phase shift at the same
thickness.
3.1.3 Pellicles
A pellicle is a transparent membrane17 mounted on a frame that is attached to the
mask substrate. It is usually an organic polymer a few micrometers in thickness. The
6-mm frame thickness is carefully chosen so that if a particle not too large in size is
attached to the pellicle, the particle is completely out of focus and can, at most, induce
a tolerable exposure change in its locality.
The pellicle has a huge importance in case of particles. If the reticle is not protected,
there will be repeating defects on every wafer at every exposure field. If only one
foreign particle falls on the mask during transportation, loading/unloading to the
exposure tool, exposure, storage, or any other type of handling, the printed field will
be damaged across the entire wafer.
36
The pellicle material must withstand prolonged exposures without reducing its
transmission or smoothness. Tiny holes are drilled at the pellicle frame to prevent
trapping of harmful vapor generated during exposure.
3.1.4 Critical parameters for masks
Critical dimension (CD) control
The mean and standard deviation of the critical dimension (CD) designated by the
circuit designer are important parameters for the mask. The CD mean must be as close
to the desired value as possible. The desired value must be the target value on the
wafer multiplied by the reduction factor of the imaging system. A different value may
produce a larger error, depending on the feature shape, feature size, feature
environment, the optical train, the resist characteristics, and processing conditions.
This value is referred to as mask bias.
Placement accuracy
Each feature on the mask must be located at the desired position with respect to other
features on the same mask, as well as on other masks whose images must be overlaid
together. Hence, placement error contributes significantly to the overlay accuracy
budget. Placement errors are often induced by the mask-making machine, mostly due
to difficulties in controlling the position of the writing beam.
37
3.1.5 Mask transmission and thermal expansion
The transmission of the mask blank should be above 90%. From the point of view of
mask heating, a higher transmission is desirable. After reaching the material
transmission limit, the only way to increase transmission is to reduce the mask
thickness.
Mask heating causes thermal expansion. With a thermal expansion coefficient of 0.5
ppm/0C, raising the temperature of the mask substrate by 10C expands a 150-mm
square mask by 75 nm at each side. This can easily be corrected by fine tuning the
magnification of the exposure tool, especially in a projection tool. In lensless systems,
such as proximity printing, mask thermal expansion can be detrimental to overlay
because wafers at different stages of processing can exhibit subtle magnification
changes.
3.1.6 Mask reflectivity
One of the main concerns with chromium as a mask absorber is its high reflectivity at
the side facing the imaging lens. This reflection can reduce image contrast.
The figure below shows linewidth versus defocus plots at a range of exposure dosages
for mask absorber reflectivity of 66%, 33%, and 10%. The 10% result clearly
confirms better linewidth control and exposure tolerance. It is desirable to reduce
absorber reflection to 10% or lower.
38
Linewidth versus defocus at different exposures for three levels of mask reflectivity
R= Reflectivity, ∆E − Energy (Dose Delta), ∆I − Intensity Delta, ∆W −
line Width Delta
3.1.7 Mask flatness
The flatness of the mask must be a small part of the depth-of-focus (DOF) budget of
the entire imaging system. With a reduction system, the flatness requirement is very
relaxed because the DOF tolerance on the mask size is M2, with M being the
reduction ratio. For example, with a mask flatness of 500 nm, the focusing error at the
wafer side of a 4X reduction system is 31 nm. In addition to ensuring that the two
surfaces of the mask blank are well polished and parallel to each other, the mask
blank must have sufficient strength to support itself without sagging, which can
induce DOF error.
3.1.8 Defect level
The defect level is usually specified by the maximum allowable defect size as a
function of its vicinity to patterned features on the mask. A programmed defect mask
(PDM) consisting of artificial defects varying in size and distance to patterned
features is often used to empirically determine defect printability for specification.
Simulation is helpful to gain insight for designing the PDM. Because real defects are
usually irregular in shape, its printability must be further qualified with aerial image
measurement system (AIMS), which consists of a small-field imaging lens and
illuminator in an actinic wavelength to mimic the same λ/NA condition. Such an
optical system is much easier to build when compared to a scanner or stepper. The
NA needs only be 1/M (M being the reduction ratio) of the NA of the exposure
system. Therefore, 0.18 NA works for 0.72 NA of a 4X reduction system. Ultimate
qualification lies in exposing the mask in the production exposure system and
inspecting the printed wafer with wafer inspection tools.
39
3.2 EUV Mask and masking concept
EUVL (Extreme ultraviolet lithography) successful implementation as the IC future
fabrication technology is dependent mostly on the ability to create suitable mask
technology. Since EUV light is strongly absorbed by most materials, reflective optics
are applied (as discussed in the EUV Lithography chapter) to all components,
including the mask. As a result, EUV mask structure is radically different from that of
conventional optical lithography (193/248nm for example). All the mask components
must be prepared with great care to consider the 13.5nm reflection, which requires
nano-scale thin-film technologies. The reflection of a 13.5-nm wavelength requires a
multilayer (ML) structure consisting of many alternating layers of materials having
dissimilar EUV optical Constants. The Bragg reflection (constructive interference of
the partially reflected beam at several interfaces) is the principle to have EUV
reflection, and its efficiency is determined by the structural factor (the thickness of
each layer and their ratios) as well as optical properties (refractive index and
extinction coefficient).
An EUV mask consists of several layers that have unique mechanical, chemical and
optical functions. The mask substrate maintains the rigidity of the mask with
minimum distortion. It should have a flat, smooth surface with zero defects as well as
a low coefficient of thermal expansion (CTE). The ML is one of the key components
in terms of mask efficiency- if its reflectivity is below l00%, the mask will cause an
optical power loss. The ML capping layer protects masks from degradation and the
absorber layer in a mask has a similar purpose to the chrome layer of a deep
ultraviolet (DUV) in terms of pattern forming function.
40
Taken from article: Light-shield border impact on the printability of extreme-
ultraviolet mask- Takashi Kamo ; Kazuo Tawarayama ; Yuusuke Tanaka ; Yukiyasu
Arisawa ;Hajime Aoyama ; Toshihiko Tanaka ; Osamu Suga
3.2.1 Mask substrate and surface requirements:
The ML is coated onto the substrate of an EUV mask to attain high reflectivity at the
13.5nm wavelength. The EUV reflectivity is degraded by the roughness of the
substrate surface, especially by the high spatial frequency roughness (HSFR), which
causes large angle scattering and loss of EUV light form the projection lens. Mask
MSFR (also referred to as slope error) leads to intensity variation in the image plane
and becomes a source of line edge roughness (LER) or image placement error. For a
0.25-NA optical system, the frequency range for MSFR is l0-6nm <f <0.004/nm and
for MSFR 0.004/nm<f <0.02nm. The mask flatness issue comes from the unique
EUVL imaging system design, which is a non telecentric illumination system. Any
height variation of the patterned mask surface (non flatness) causes an image
placement error (or overlay error) on the wafer.
HSFR- leads to loss of light throughput as the light scatters outside the exit pupil
41
MSFR-leads to small angle scattering, which causes wave front error and speckle
The image placement error on the wafer is defined using the below formula:
∆x =
∆z ∗ tan θ
M
Where ∆z the non flatness of the mounted mask and θ is the illumination angle.
Assuming θ=5 degree illumination angle, the image placement error is about 1/40 of
the non flatness of the mounted mask ∆z.
∆Xwafer = (
1
4
) ∗ tan(50
) ∗ ∆Zm ≅ 0.023∆Zm
3.2.2 Mask fabrication and defects requirements
Mask fabrication is being done while taking into consideration the importance of
defect clean surface. The biggest challenge for the EUV mask blank is defect
reduction. Defects on the substrate before ML deposition or defects generated inside
42
the ML during the deposition process have the potential to cause printable defects
during the lithography process.
Taken from: http://www.drlitho.com/cms/website.php?id=/en/research/emf.html
A substrate surface defect tends to propagate through the ML coating, which causes
disturbance of the reflected wave front. Depending on the deposition process and
defect location, it can be printable or nonprintable.
As shown in the figure below, if the defect is greater than a threshold value (diameter
and height), it can be printed on the surface of the wafer. This propagated mask
surface defect is called a phase detect.
43
Theoretical curve for the printability of a molybdenum-silicon (Mo/Si) ML detect as
function of the detect height and full width at half maximum (FWHM).
At the EUV wavelength, every material has a refractive index close to 1 and
reflectivity of almost 0. As discussed previously, EUV Lithography optics are
required to be all reflective and a ML coated mirror consisting of a large number of
alternating material layers and having dissimilar EUV optical constants is being used
in order to achieve high reflectance by interfering EUV light.
According to Fresnel equation, the reflectivity of the boundary is proportional to the
difference in the indices of the refraction. The two different layers for the ML have
high and low atomic numbers, (Low layer lower number) to maximize the difference
in electron density. These materials also should have low EUV light absorption,
which is determined by the imaginary part of the refractive index (extinction
coefficient). Taken into account process capability as well as optical performance,
Mo/Si MLs are known to be among the best choices from the several material
combinations for high reflectivity at a wavelength around 13.5nm, and are essential
components for EUV exposure optics as well as for EUV masks.
The Mo layer- scatters the light, while the Si layer, which has low EUV absorption,
works as a spacer for periodic structure. The thickness of the Mo/Si pair (d spacing)
and the ratio of the Mo thickness to the two layer period are designed to exhibit
maximum reflection but minimum absorption. The period of the ML pairs should
satisfy the modified Bragg’s law to produce maximum reflectance at the EUV
wavelength:
nλ = 2dcosθ√1 −
2δ
1 − (cosθ)2
Where n is an integer, d is he period of the ML pairs (d spacing), λ is the EUV
wavelength, θ is the light incident angle to the mask normal (usually 5 deg), and b is
the bilayer-weighted n. δn is defined as 1-n, where n is the real part of the refractive
index. By increasing the number of bilayers over 40, reflectance can be improved up
to about 69%.
44
One reason for losing reflectance in the ML layers is imperfections that accrue during
the ML processing. The industry defect goal (High Volume Manufacturing goal), as
posted in 2009 was 0.003defects/cm2.
The most common imperfections are:
Formation of surface oxide- Typical Mo/Si MLs are terminated with Si, but their
reflectance tends to decrease in the presence of EUV light and water vapor due to
growth of the silicon oxide (SiO) layer. EUV irradiation creates low energy secondary
electrons that promote surface oxidation.
Silicide formation between Mo and Si layers- results in reflectance degradation as
well as ML period contraction. The Silicide layer thickness is interface-dependent.
The Silicide thickness of the Mo-on-Si layer is about two times thicker than that of
the Si-on Mo interface. A reduction of interdiffusion can be accomplished by
introducing thin diffusion barriers between the Mo and Si layers, which leads to a
transition from the traditional Mo/Si bilayer structure to an interface-engineered triple
or quadruple structure.
Measured reflectivity of Mo/Si, Mo/Si/C
and Mo/Si/SiC ML mirrors
Taken from Ref 23 EUV book
Roughness of interfaces- lowers ML
reflectance. There is a critical Mo
thickness where the roughness increases
dramatically due to amorphous-to crystalline translation. In an optimized ML for
EUV mask, the typical Mo thickness is well below that critical value. Using ML
smoothing techniques during the deposition process can effectively reduce roughness
problems caused by small substrate surface defects and substrate surface roughness.
The planarization process for smoothing substrate particles is using a secondary ion
45
source can smooth ~80nm diameter particles on the substrate to a height of 0.5nm,
which would render them non critical in the EUVL printing process.
3.2.3 Absorber stack and Backside conductivity coating
EUV mask requires two or three films, called the absorber stack, which is on top of
the ML. The absorber stack consists of a buffer layer, an absorber layer, and an ARC
(Low Reflective layer- ARC=Anti Reflective Coating). The buffer layer protects the
ML during absorber etch and repair, and the ARC secures the efficiency of optical
inspection. The backside metal coating enhances the chucking force when reticle is
mounted.
Absorber Layer
The absorber layer must exhibit several characteristics, including high EUV
absorption, stability under EUV radiation, high image contrast during inspection,
conductivity high etch selectivity to buffer, and low DUV reflectivity to afford optical
surface defect inspection.
Cr and TaN are used the most for the absorber layer. Cr, currently used in
conventional optical masks, can be deposited with low defect density and low stress.
The Cr etch process, however, generally induces a large negative etch bias on the
order of 50 to 80 nm. Ta-based materials can be easily etched with Cl2-based
chemistry and yield very little etch bias. Aerial image contrast depends on the
absorber material (higher with TaN and Cr) as well as the absorber thickness (higher
with a thicker absorber). However, a low-refractive-index (n) material like TaN can
46
act as an attenuated phase shift mask (PSM), resulting in an even higher contrast at
low thickness (~45 nm in the case of TaN).
Wafer plane aerial image contrast as a function of absorber height for various
absorbers with no buffer.
Buffer Layer:
Because the buffer layer must be able to protect the ML during absorber etch and
absorber pattern repair, it needs to have the following characteristics:
 Low pinhole defectivity
 High etch selectivity to the absorber layer during absorber etch and repair
 High etch selectivity to ML capping during the buffer layer removal
 Low EUV absorption such that a buffer defect can be tolerated without repair
 Stability during chemical cleaning.
The buffer layer can be made from few deferent materials depending on the process:
SiO2, SiON,Ru,C,Cr and CrN.
Anti-Reflecting Coating (Low Reflectivity Layer):
The EUV mask can inspected using reflection mode only (compared to traditional Cr
on glass mask that can be inspected using reflection and transmission). The image
contrast depends on the reflectivity difference between the reflector region (ML or
repair buffer) and absorber region.
47
The image contrast is than defined as:
Image Contrast=
RML−Rabs
RML+Rabs
× 100%
When R is the reflectivity of the ML and the Absorber.
Since any metal layer would reflect too much of the DUV light (being used for
inspection of the mask during production), an ARC is needed for DUV to reduce this
reflection as much as possible. The EUV light reflection at the absorber can be
decreased easily by adjusting the thickness. Coupled with the typical ML reflectivity
of 55 to 60% at the DUV wavelengths the considerable reflection on top of the
absorber layer requires the antireflection of the ARC layer to reduce the light
reflection from the top of the absorber. Image contrasts of 75% to 88% can be
achieved using 33nm SiON-ARC. In addition to the low reflectivity at the inspection
wavelength, the ARC needs to have these properties:
 Easy to etch with zero etch bias
 High etch resistance during buffer etch
 Common etch chemistry with absorber etch
 Good chemical cleaning durability
Backside Conductive Coating:
Both in-plane distortion (IPD) and out-of-plane distortion (OPD) will occur due to the
residual stress of the ML, buffer layer, absorber layer, and ARC. Since the EUV mask
is a reflective mask, it is possible to chuck the mask from its backside to flatten the
mask (See section 3.2.1- surface requirements), and reduce the distortion affect. The
traditional vacuum chuck will not work in EUV exposure tool, since the wafer
exposure is performed in high vacuum. One possible way is to use an e-chuck
(electrostatic chuck), and this is why a conductive mask backside coating is required.
e-chuck (electrostatic chuck):
48
Taken from: “Determination of local electrostatic forces for EUVL mask chucks”,
Gerhard Kalkowskia, Thomas Peschela, Stefan Rissea, Sandra Mullera, Roxann L.
Engelstadb,
3.2.4 Shadowing Affect
The mask shadowing effect is a unique problem caused by the combination of oblique
illumination and mask topography. Due to this effect a printed CD bias and position
shift will occur.
Optics illustration of EUV mask shadowing effect.
Taken from: http://palc.postech.ac.kr/research/euv/euv.htm
Compared to the designed mask CD, the mask space features will print smaller and
the line features will print larger. This effect is stronger with increasing thickness of
the absorber stack:
Space CD (Printed) = CD (Designed) - (2d × tan θ) × M
Line CD (Printed) = CD (Designed) + (2d × tan θ) × M
Where the printed CDs are measured at the wafer plane, M is the EUV scanner
reduction factor and θ is the light incident angle to the mask.
49
The shadowing effect can be essentially decreased by reducing absorber height.
However, reduction of absorber height has a side effect that is an increased
reflectivity of a mask black border resulting in field-to-field stray light due to parasitic
reflections. One of the solutions to this problem is optical process correction on field
edges.
3.2.4 Bossung curve asymmetry and focus shift
The analysis maps a control surface for critical dimensions (CD’s) as a function of the
variables of focus and exposure (dose). Most commonly the technique is used to
calculate the optimum focus and dose process point that yields the greatest depth-of-
focus (DoF) over a tolerable range of exposure latitude.
When EUV mask with a given topography is considered, the Bossung curve of a line
at a large pitch is tilted and the best focus is shifted. This focus shift is pitch-
dependent. From the periodic lines to the isolated lines, the focus shift increases as the
pitch increases
L.H.S plot- Bussong curve of 30nm dense lines
R.H.S plot- Bussong curve of 30nm dense lines at 200nm pitch
Both plots are for 100nm TaN absorber mask with no buffer
Taken from Ref.87 EUV
The maximum focus- shift difference between dense and isolated lines for the TaN
case is as large as 40 nm. When the focus shifts are different between different
50
pitches, the scanner focus adjustment cannot compensate for the focus shift of all the
lines with different pitches. Since the total depth of focus (DoF) is only about 100 nm
in EUVL, a 40-nm focus mismatch between the dense and isolated lines has a big
impact on the total lithographic process window. This focus shift depends on the
buffer and absorber materials.
51
4. Simulation
4.1. System Design
In order to show the difference and system requirements, a simulation using Matlab
software was designed. The system code, build based on the previous chapters in this
document, intends to enable the user to input few EUV variables and receive few of
the system parameters.
System constants:
 Illumination angle of 1.5 degree for all ML optical components- including
reticles
 ML Mirror reflection constant at 67.5, according to plot in 3.2.2 for incident
illumination angle of 1.5 degree.
 ML Mirror reflection is stable on the above value for illumination angle of 0-
13 degrees (according to plot below). This enables more freedom when
designing the system and placing the mirrors.
 40 layer for all ML optics with 7 nm period
System Input by user:
 K1 and K2- Process dependent constants
 System Wave Length in nm
 System Numerical Aperture
 Number of mirrors in the system
 Input LASER energy (W/cm2)
 Mounted Mask non flatness in nm
 Mask Space and Line designed CDs
 Reticle absorber thickness
52
System output:
1. Resolution (nm)- based on calculation demonstrated in 2.1. This size refers to
the best possible half pitch (half the distance between identical features) of a
memory cell.
2. Depth of field- Based on calculations demonstrated in 2.1
3. Power delivered to wafer- Based on calculation in 2.2.1 and reflection table
2.1. Power delivered to the wafer is demonstrated here in (W/cm2) units. In
real systems, the power is represented in Joule (W*Sec), since the system is
scanning the reticle on the wafer and scan time (sec) has a major effect on the
amount of energy on the surface of the wafer.
4. Image placement error (nm) on the wafer- Based on calculations demonstrated
in 3.2.1
5. Printed space CDs (nm)- Based on calculations demonstrated in 3.2.4
6. Printed Line CDs (nm)- Based on calculations demonstrated in 3.2.4
53
System input interface: System Output:
ML Mirror reflection is stable on the above value for illumination angle of 0-13
degrees:
54
Takenfrom:
http://en.wikipedia.org/wiki/File:EUV_multilayer_reflectivity_vs_angle_and_polarization.pn
g
4.2. Reflectivity in EUV spectral region simulation
The EUV optical components are placed under vacuum in order to reduce the
absorption of energy.
The most commonly used building material for the multilayer optics, are Silicon and
Molybdenum. As presented in 2.3.2, the incident angle of reflection has huge affect
on the system properties, especially since the system must include multiple ML
mirrors to navigate the light to the wafer. Finding the correct angle and the impact on
the component reflection is an important part of the system design.
Using calculation presented at 2.3.2, the reflection of the interface between vacuum
and Si/Mo was simulated.
𝑛̃ = 1 −
𝑁 𝑎 𝑟𝑒 𝜆2
2𝜋
(𝑓1 − 𝑖𝑓2)
55
𝑟𝑠 =
𝑛1̃𝑐𝑜𝑠𝛼1 − 𝑛2̃𝑐𝑜𝑠𝛼2
𝑛1̃𝑐𝑜𝑠𝛼1 + 𝑛2̃𝑐𝑜𝑠𝛼2
𝑟𝑝 =
𝑛1̃𝑐𝑜𝑠𝛼2 − 𝑛2̃𝑐𝑜𝑠𝛼1
𝑛1̃𝑐𝑜𝑠𝛼2 + 𝑛2̃𝑐𝑜𝑠𝛼1
𝑅┴ = | 𝑟┴|2
=
𝛿2
+ 𝛽2
(2 − 𝛿)2 + 𝛽2
≈
𝛿2
+ 𝛽2
4
In order to calculate the refractive index of the interface, the elements properties
below have been used:
Element
Atomic Wight
(amu)
Density
(g/cm3)
Atomic
scattering
factors
Si 28 2.33 0.3069
Mo 95.94 10.28 14.4185
W 183.84 19.25 13.0514
Vacuum-Si reflection for 13.5nm wavelength as a function of the incident angle (log
scale)
56
Vacuum-Mo reflection for 13.5nm wavelength as a function of the incident angle (log
scale)
4.4. Paper Observations and conclusions
1. Resolution and DOF:
As seen in the plot above (resolution and DOF change through wavelength), under
given conditions, resolution and DOF will yield values of around R=40nm and
DOF=140nm.
Like in the DUV based technology, it is possible to achieve up to 1.3 times the
wavelength using some optical improvements (phase shift masks for example)- EUV
systems can print up to 18nm features on the wafers (half pitch). The DOF is
improved compared to DUV systems. This can be seen from the DOF equation- The
NA is now in values of around 0.3 (compared to values of around 1.3 in DUV
immersion based lithography systems).
𝐷𝑂𝐹 =
𝑘2 𝜆
𝑁𝐴2
57
Medium Wavelength(nm) NA
Resolution
(nm) DOF k1,k2
Air 248 0.9 170 200 0.65
Water 193 1.3 70 95 0.65
Vacuum 13.5 0.3 40 140 0.65
2. Number of optical components in the system:
As presented above, the reflectance of a ML optical component in the EUV system
will never be 100%. If the Mo/Si mirror is placed in an angle between 0-13 degrees,
the reflection should be close to 67%. For this reason, using minimum amount of
absorbing optical components to direct the light from the laser source to the wafer is
obvious.
Source Energy
(W/cm2)
ML
Components
Energy on the wafer
(W/cm2)
%
decrees
200 1 134.0 33.0
200 2 89.8 55.1
200 3 60.2 69.9
200 4 40.3 79.8
200 5 27.0 86.5
200 6 18.1 91.0
200 7 12.1 93.9
0.0
20.0
40.0
60.0
80.0
100.0
120.0
140.0
160.0
0 2 4 6 8
ML Vs. Energy on the wafer (W/cm2)
Energy on the wafer
(W/cm2)
58
3. Impact of mounted reticle non flatness on image misplacement:
Transferring the image from the mask to the silicon wafer has to be done in very high
accuracy for obvious reasons. Any deformation or non flatness of the reticle will
reflect as misplacement error on the wafer.
The misplacement on the wafer will grow linearly with the non flatness of the reticle.
For scanner reduction factor of 1:4 and illumination angle of 1.50:
Reticle Non flatness (nm) Wafer Misplacement Error (nm)
0 0
10 0.06
20 0.13
30 0.2
40 0.26
50 0.32
60 0.4
Using the e chuck shown in 3.2.3, which mounts the reticle in large numbers of
vacuum spots, should reduce the reticle non flatness.
4. Impact of shadowing effect on critical dimensions:
Even though, as shown above, the reflectivity of the Mo/Si ML is stable between 0-13
degrees, the printed CDs will be affected.
For scanner reduction factor of 1:4 and absorber thickness of 20nm and mask
designed CDs of 35nm:
0
0.1
0.2
0.3
0.4
0.5
0 10 20 30 40 50 60
Wafer MissPlacmentError (nm)
Wafer
MissPlacment
Error (nm)
59
Incident angle (Degrees) Space CDs Space CD % reduction Line CDs Line CD % increase
0 35 0 35 0
1.5 31 -12 39 11
3 27 -24 43 19
5 21 -40 49 29
7 15 -56 55 36
9 10 -72 60 42
11 4 -89 66 47
13 -2 -106 72 51
15 -8 -122 78 55
-140
-120
-100
-80
-60
-40
-20
0
20
40
60
80
1 2 3 4 5 6 7 8 9 10
%Change
CD % Change Vs. Illum Angle
Line CD % increase
Space CD % reduction
60
4.5 Simulation Code
%EUV ML Reticle Design
close all;
clear all;
%%%%%%%%%%%%%%%%%%%%%%%%System Constants%%%%%%%%%%%%%%%%%%
%Reduction from reticle to wafer=4
% reticle tetha=1.5 degree
%%%%%%%%%%%%%%General Parameters- all in nm
n_air=1;
prompt = {'Enter k1:','Enter k2:','Enter WaveLength (nm):','Enter
NA:','Enter System Mirrors Num','Enter Starting Energy
(W/cm2):','Enter Reticle non flatness (nm):','Enter Designed Space
CDs (nm):','Enter Designed Line CDs (nm):','Enter Reticel Absorber
Thickness(nm):'};
dlg_title = 'System input';
num_lines = 1;
def = {'0.65','0.65','13.5','0.25','5','200','30','40','40','45'};
answer = inputdlg(prompt,dlg_title,num_lines,def);
re=2.82*10^-15*10^9;%radius of electron in nm
k1= str2num(answer{1});
k2= str2num(answer{2});
lambda=str2num(answer{3});
NA=str2num(answer{4});
Mirr=str2num(answer{5});
m=1;
61
tetha=1.5;
%%%%%%%%EUV System
Res=k1*lambda/NA;
DOF=k2*lambda/(NA)^2;
d=m*lambda/(2*cos(tetha));
Ps=str2num(answer{6});
Pw=Ps*0.61^(Mirr);
Zret=str2num(answer{7});
Sysreduct=4;
Plcerr=(1/Sysreduct*tand(tetha)*Zret);
SCDd=str2num(answer{8});
LCDd=str2num(answer{9});
thx=str2num(answer{10});
SCDp=SCDd-(2*thx*tand(tetha)*Sysreduct);
LCDp=SCDd+(2*thx*tand(tetha)*Sysreduct);
h = msgbox({'Resolution ' num2str(Res),'Depth of Field '
num2str(DOF),'Power Deliverd to Wafer (W/cm2) ' num2str(Pw),'Image
Placement Err (nm)' num2str(Plcerr),'Printed Space CDs '
num2str(SCDp),'Printed line CDs ' num2str(LCDp)}, 'Results Based on
input');
%%%%DOF and resolution vs wavelength
lambda=20;
for lambda=20:-0.5:0
Res=k1*lambda/NA;
62
DOF=k2*lambda/(NA)^2;
figure (2);
hold on;grid on;
plot(lambda,Res,'--
sb','linewidth',1,'markersize',4,'markerfacecolor','g');
plot(lambda,DOF,'--
sb','linewidth',1,'markersize',4,'markerfacecolor','r');
xlabel('Wavelength (nm)')
ylabel('resolution and depth of focus (nm)')
legend('Res','DOF')
title('R and DOF for per system requerments through wavelength in
EUV region');
hold off;
end
%EUV Reflection for MoSi ML
close all;
clear all;
%%%%%%%%%%%%%%General Parameters- all in nm
n_air=1;
f1_euv=13.015;%Atomic scatering factores calculated from plots
f2_euv=0.1;%%f1_euv;%at 13.5nm wavlegth
betha_si_euv=0.0025;
Na=63;%mo
re=2.82*10^-15*10^9;%radius of electron in nm
k1=0.75;
63
k2=0.75;
lambda=13.5;
NA=0.25;
m=1;
n=1-(Na*re*lambda^2)*(f1_euv)/(2*pi);%-
i*f2_euv);%0.99;%2.55;%n_air+i*betha_si_euv
tetha=0;
%%%%%%%%EUV System
Res=k1*lambda/NA;
DOF=k2*lambda/(NA)^2;
d=m*lambda/(2*cos(tetha));
alfa1=tetha;
alfa2=asind(n*sind(alfa1)/n_air);
rs=(n*cosd(alfa1)-n_air*cosd(alfa2))/(n*cosd(alfa1)+
n_air*cosd(alfa2));
rp=(n*cosd(alfa2)-n_air*cosd(alfa1))/(n*cosd(alfa2)+
n_air*cosd(alfa1));
r_norm=(n-n_air)/(n+n_air);
R_norm=abs(r_norm)^2;
Rp=(rp)^2;
Rs=(rs)^2;
%%%Reflectivity vs angle
tetha=0;
for tetha=0:1:90
alfa1=tetha;
alfa2=asind(n*sind(alfa1)/n_air);
64
rs=(n*cosd(alfa1)-n_air*cosd(alfa2))/(n*cosd(alfa1)+
n_air*cosd(alfa2));
rp=(n*cosd(alfa2)-n_air*cosd(alfa1))/(n*cosd(alfa2)+
n_air*cosd(alfa1));
r_norm=(n-n_air)/(n+n_air);
R_norm=abs(r_norm)^2;
Rp=(abs(rp))^2*100;
Rs=(abs(rs))^2*100;
hold on;grid on;
plot(tetha,log10(Rp),'--
sb','linewidth',2,'markersize',8,'markerfacecolor','g');
plot(tetha,log10(Rs),'--
sb','linewidth',2,'markersize',8,'markerfacecolor','r');
xlabel('incidence angle')
ylabel('Log scale of Rs,Rp (%)')
legend('Rp','Rs');
%axis([0 90 0:0.001:0.1]);
hold off;
end
65
5. Reference
1. Larry F. Thompson, C Grant Wilson and Murrae J. Bowden “Introduction to
Microlithography” .Second Edition. ASC (1994). PP 20-100
2. Vivek Bakshi “EUV Lithography”. SPIE, (2009) PP 1-46, 326,346
3. Jos Benschop “EUV:Status and Challenges Ahead. Inetrnational Workshop on EUVL,
Maui 2010”. ASML (2010)
4. 4.John E.Bjorkhlm “EUV Lithography-The Successor to Optical Lithography?”.
Advanced Lithography Depatment, Thchnology and Manufacturing Group, Santa
Clara, CA. Intel Technology Journal Q3’98.
5. Atsuhiko Kato “Chronology of lithography Milstones” Version 0.9 (2007)
6. Stefan Wurm “EUV Lithography Development and Research Challangres of the 22
nm Half Pitch” Journal of Photopolymer Science and Technology Vol.22 (2009).
7. K. L. Blaedel. J. S. Taylor. S. D. Hector, at al., “Vendor capability for thermal
expansion mask substrates for EUV lithography,” Proc . SPIE 46X8 767—778 (2002).
8. F. Ruggeberg. T. Leutbecher, S. Kirchner, at al., “Flatness Correction of pol-shed
quartz glass substrates.” in 2nd International Symposium on extreme UV Lithography.
Antwerp, Belgium, Oct. 2003, SEMATECH Inc
9. Bossung Curves; an old technique with a new twist for sub-90 nm nodes-Terrence E.
Zavecz – TEA Systems
10. MultiLayer Interface Coating for EUVL Sergiy Yulin
11. Closing the gap for EUV mask repair- T. Breta, R. Jonckheereb, D. Van den Heuvel
b, C. Baura, M. Waiblingera, G. Baraliaa bIMEC, Kapeldreef 75, B-3001 Leuven,
Belgium
12. P. B. Mirkarimi, E. Spiller. S. L. Baker, et a), “Developing a viable multilayer coating
process for extreme ultraviolet lithography reticles,” j Micrrlith., Microfab., Microsys.
3(l), 139—145 (2004).
13. T. W Barbee, Jr., S. Mrowka. and M. C. Hcttrick, “Molybdenum-silicon multilayer
mirrors for the extreme ultraviolet" App. Opt. 24(6), 883—883 (1985).
14. J. M. Slaughter. D. W. Schulze, C. R. Hills. et at. “Structure and performance ot Si/Mo
multilayer mirrors for the extreme ultraviolet.” J. .Appl. Phys. 76(4). 2134—2156
(1994).
15. P - Y. Yan. in handbook of Photomask Manufacturing S. Ri,i. CRC Press/Taylor &
Francis Informa Group, Boca Raton. FL. p. 239 2005).
66
16. Imaging performance improvements by EUV mask stack optimization Natalia
Davydovaa, Eelco van Settena, Robert de Kruifa, Dorothe Oorschota, Mircea Dusab,

Más contenido relacionado

La actualidad más candente

Public Presentation, ASML EUV forecast Jul 2010
Public Presentation, ASML EUV forecast Jul 2010Public Presentation, ASML EUV forecast Jul 2010
Public Presentation, ASML EUV forecast Jul 2010JVervoort
 
5.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 20135.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 2013Bhargav Veepuri
 
Electron Beam Lithography review paper - EE541 Dublin City University
Electron Beam Lithography review paper - EE541 Dublin City UniversityElectron Beam Lithography review paper - EE541 Dublin City University
Electron Beam Lithography review paper - EE541 Dublin City UniversityRay Tyndall
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithographyRohan Deokar
 
Keeping innovation moving asml
Keeping innovation moving asmlKeeping innovation moving asml
Keeping innovation moving asmlODINNNL
 
photolithography_a
photolithography_aphotolithography_a
photolithography_aguestda8318
 
Silicon Manufacturing
Silicon ManufacturingSilicon Manufacturing
Silicon ManufacturingAJAL A J
 
Photolithography and its procedure
Photolithography and its procedurePhotolithography and its procedure
Photolithography and its procedurekaroline Enoch
 
Lithography fabrication ppt
Lithography fabrication pptLithography fabrication ppt
Lithography fabrication pptAvinash Jadhav
 
A brief description of photolithography
A brief description of photolithographyA brief description of photolithography
A brief description of photolithographyshashi kant
 
Photolithography
PhotolithographyPhotolithography
Photolithographytabirsir
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithographypaneliya sagar
 

La actualidad más candente (20)

Public Presentation, ASML EUV forecast Jul 2010
Public Presentation, ASML EUV forecast Jul 2010Public Presentation, ASML EUV forecast Jul 2010
Public Presentation, ASML EUV forecast Jul 2010
 
Photolithography1
Photolithography1Photolithography1
Photolithography1
 
Photolithography
PhotolithographyPhotolithography
Photolithography
 
5.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 20135.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 2013
 
Electron Beam Lithography review paper - EE541 Dublin City University
Electron Beam Lithography review paper - EE541 Dublin City UniversityElectron Beam Lithography review paper - EE541 Dublin City University
Electron Beam Lithography review paper - EE541 Dublin City University
 
Photolithography
PhotolithographyPhotolithography
Photolithography
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
X-ray lithography
X-ray lithographyX-ray lithography
X-ray lithography
 
Chapter 5 Lithography _ II.pptx
Chapter 5 Lithography _ II.pptxChapter 5 Lithography _ II.pptx
Chapter 5 Lithography _ II.pptx
 
Keeping innovation moving asml
Keeping innovation moving asmlKeeping innovation moving asml
Keeping innovation moving asml
 
photolithography_a
photolithography_aphotolithography_a
photolithography_a
 
Silicon Manufacturing
Silicon ManufacturingSilicon Manufacturing
Silicon Manufacturing
 
Photolithography and its procedure
Photolithography and its procedurePhotolithography and its procedure
Photolithography and its procedure
 
Lithography fabrication ppt
Lithography fabrication pptLithography fabrication ppt
Lithography fabrication ppt
 
A brief description of photolithography
A brief description of photolithographyA brief description of photolithography
A brief description of photolithography
 
Photolithography
PhotolithographyPhotolithography
Photolithography
 
E beam lithography
E beam lithographyE beam lithography
E beam lithography
 
Extream ultra violet
Extream ultra violetExtream ultra violet
Extream ultra violet
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
Immersion lithography
Immersion lithographyImmersion lithography
Immersion lithography
 

Destacado

Asml 20160615 presentation_asml_hmi
Asml 20160615 presentation_asml_hmiAsml 20160615 presentation_asml_hmi
Asml 20160615 presentation_asml_hmiArzish Baaquie
 
Mask fabrication process
Mask fabrication process Mask fabrication process
Mask fabrication process pardis paliz
 
Solar panel Technology ppt
Solar panel Technology pptSolar panel Technology ppt
Solar panel Technology pptGourav Kumar
 
Q3 2015 Earnings Report- ASML
Q3 2015 Earnings Report- ASMLQ3 2015 Earnings Report- ASML
Q3 2015 Earnings Report- ASMLCarson Fears
 
556 2nd presentation by teja
556 2nd presentation by teja556 2nd presentation by teja
556 2nd presentation by tejaTejasri Saladi
 
Data At Rest
Data At RestData At Rest
Data At Restkazemedia
 
09.50 Ernst Vrolijks
09.50 Ernst Vrolijks09.50 Ernst Vrolijks
09.50 Ernst VrolijksThemadagen
 
Werving kenniswerkers do’s en don’ts?
Werving kenniswerkers do’s en don’ts?Werving kenniswerkers do’s en don’ts?
Werving kenniswerkers do’s en don’ts?brainport2020
 
ListOfTechProjsWITHSphinxV1
ListOfTechProjsWITHSphinxV1ListOfTechProjsWITHSphinxV1
ListOfTechProjsWITHSphinxV1Carlo Fanara
 
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIXStellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIXAstroAtom
 
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 Å
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 ÅPlasma diagnostic in eruptive prominences from SDO/AIA observations at 304 Å
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 ÅUniversity of Glasgow
 
Professor Dame Carole Jordan: a remarkable career
Professor Dame Carole Jordan: a remarkable careerProfessor Dame Carole Jordan: a remarkable career
Professor Dame Carole Jordan: a remarkable careerAstroAtom
 
Double Patterning
Double PatterningDouble Patterning
Double PatterningDanny Luk
 
5.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,20135.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,2013Bhargav Veepuri
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009168report
 
EBuitrago Vertically Stacked SiNW Sensor
EBuitrago Vertically Stacked SiNW SensorEBuitrago Vertically Stacked SiNW Sensor
EBuitrago Vertically Stacked SiNW SensorElizabeth Buitrago, PhD
 

Destacado (20)

SPIE- 9422-63 Elizabeth Buitrago
SPIE- 9422-63 Elizabeth BuitragoSPIE- 9422-63 Elizabeth Buitrago
SPIE- 9422-63 Elizabeth Buitrago
 
Asml 20160615 presentation_asml_hmi
Asml 20160615 presentation_asml_hmiAsml 20160615 presentation_asml_hmi
Asml 20160615 presentation_asml_hmi
 
Mask fabrication process
Mask fabrication process Mask fabrication process
Mask fabrication process
 
Solar panel Technology ppt
Solar panel Technology pptSolar panel Technology ppt
Solar panel Technology ppt
 
ASML
ASMLASML
ASML
 
Q3 2015 Earnings Report- ASML
Q3 2015 Earnings Report- ASMLQ3 2015 Earnings Report- ASML
Q3 2015 Earnings Report- ASML
 
Fabrication and characterization of graphene nanodevices
Fabrication and characterization of graphene nanodevicesFabrication and characterization of graphene nanodevices
Fabrication and characterization of graphene nanodevices
 
556 2nd presentation by teja
556 2nd presentation by teja556 2nd presentation by teja
556 2nd presentation by teja
 
Data At Rest
Data At RestData At Rest
Data At Rest
 
09.50 Ernst Vrolijks
09.50 Ernst Vrolijks09.50 Ernst Vrolijks
09.50 Ernst Vrolijks
 
Werving kenniswerkers do’s en don’ts?
Werving kenniswerkers do’s en don’ts?Werving kenniswerkers do’s en don’ts?
Werving kenniswerkers do’s en don’ts?
 
ListOfTechProjsWITHSphinxV1
ListOfTechProjsWITHSphinxV1ListOfTechProjsWITHSphinxV1
ListOfTechProjsWITHSphinxV1
 
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIXStellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX
 
S5.2_Buitrago
S5.2_BuitragoS5.2_Buitrago
S5.2_Buitrago
 
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 Å
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 ÅPlasma diagnostic in eruptive prominences from SDO/AIA observations at 304 Å
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 Å
 
Professor Dame Carole Jordan: a remarkable career
Professor Dame Carole Jordan: a remarkable careerProfessor Dame Carole Jordan: a remarkable career
Professor Dame Carole Jordan: a remarkable career
 
Double Patterning
Double PatterningDouble Patterning
Double Patterning
 
5.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,20135.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,2013
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009
 
EBuitrago Vertically Stacked SiNW Sensor
EBuitrago Vertically Stacked SiNW SensorEBuitrago Vertically Stacked SiNW Sensor
EBuitrago Vertically Stacked SiNW Sensor
 

Similar a EUV Lithography Final

Analysis of lithography based approaches in development of semiconductors
Analysis of lithography based approaches in development of semiconductorsAnalysis of lithography based approaches in development of semiconductors
Analysis of lithography based approaches in development of semiconductorsijcsit
 
Traineeship Melbourne University - Michael Beljaars
Traineeship Melbourne University - Michael BeljaarsTraineeship Melbourne University - Michael Beljaars
Traineeship Melbourne University - Michael BeljaarsMichael Beljaars
 
Advanced lithographic technologies
Advanced lithographic technologiesAdvanced lithographic technologies
Advanced lithographic technologiesLen Mei
 
Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques alqohilihamed
 
Tunable and narrow linewidth mm-wave generation through monolithically integr...
Tunable and narrow linewidth mm-wave generation through monolithically integr...Tunable and narrow linewidth mm-wave generation through monolithically integr...
Tunable and narrow linewidth mm-wave generation through monolithically integr...Marco Zanola
 
Outsourcing the Design & Manufacturing of Projection Engines for 3D Metrology...
Outsourcing the Design & Manufacturing of Projection Engines for 3D Metrology...Outsourcing the Design & Manufacturing of Projection Engines for 3D Metrology...
Outsourcing the Design & Manufacturing of Projection Engines for 3D Metrology...Giplink Digital
 
unit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxunit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxAasthaShukla24
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
Deblurring of License Plate Image using Blur Kernel Estimation
Deblurring of License Plate Image using Blur Kernel EstimationDeblurring of License Plate Image using Blur Kernel Estimation
Deblurring of License Plate Image using Blur Kernel EstimationIRJET Journal
 
Authentication Using Hand Vein Pattern
Authentication Using Hand Vein PatternAuthentication Using Hand Vein Pattern
Authentication Using Hand Vein PatternIJTET Journal
 
RFP_2016_Zhenjie_CEN
RFP_2016_Zhenjie_CENRFP_2016_Zhenjie_CEN
RFP_2016_Zhenjie_CENZhenjie Cen
 
Partha Mishra_Lithography - Seminar & Technical Writing Topic.pptx
Partha Mishra_Lithography - Seminar & Technical Writing Topic.pptxPartha Mishra_Lithography - Seminar & Technical Writing Topic.pptx
Partha Mishra_Lithography - Seminar & Technical Writing Topic.pptxParthaMishra14
 

Similar a EUV Lithography Final (20)

From APECE to ASML A Semiconductor Journey
From APECE to ASML A Semiconductor JourneyFrom APECE to ASML A Semiconductor Journey
From APECE to ASML A Semiconductor Journey
 
Analysis of lithography based approaches in development of semiconductors
Analysis of lithography based approaches in development of semiconductorsAnalysis of lithography based approaches in development of semiconductors
Analysis of lithography based approaches in development of semiconductors
 
Traineeship Melbourne University - Michael Beljaars
Traineeship Melbourne University - Michael BeljaarsTraineeship Melbourne University - Michael Beljaars
Traineeship Melbourne University - Michael Beljaars
 
Advanced lithographic technologies
Advanced lithographic technologiesAdvanced lithographic technologies
Advanced lithographic technologies
 
Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques
 
Tunable and narrow linewidth mm-wave generation through monolithically integr...
Tunable and narrow linewidth mm-wave generation through monolithically integr...Tunable and narrow linewidth mm-wave generation through monolithically integr...
Tunable and narrow linewidth mm-wave generation through monolithically integr...
 
Nano-lithography
Nano-lithographyNano-lithography
Nano-lithography
 
Holographic printing
Holographic printingHolographic printing
Holographic printing
 
Photomask Fabrication
Photomask FabricationPhotomask Fabrication
Photomask Fabrication
 
Outsourcing the Design & Manufacturing of Projection Engines for 3D Metrology...
Outsourcing the Design & Manufacturing of Projection Engines for 3D Metrology...Outsourcing the Design & Manufacturing of Projection Engines for 3D Metrology...
Outsourcing the Design & Manufacturing of Projection Engines for 3D Metrology...
 
unit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxunit3 VLSITechnology.pptx
unit3 VLSITechnology.pptx
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
Deblurring of License Plate Image using Blur Kernel Estimation
Deblurring of License Plate Image using Blur Kernel EstimationDeblurring of License Plate Image using Blur Kernel Estimation
Deblurring of License Plate Image using Blur Kernel Estimation
 
Printing Roll Manufacturing using Laser Scanner
Printing Roll Manufacturing using Laser ScannerPrinting Roll Manufacturing using Laser Scanner
Printing Roll Manufacturing using Laser Scanner
 
Lithography
LithographyLithography
Lithography
 
Authentication Using Hand Vein Pattern
Authentication Using Hand Vein PatternAuthentication Using Hand Vein Pattern
Authentication Using Hand Vein Pattern
 
RFP_2016_Zhenjie_CEN
RFP_2016_Zhenjie_CENRFP_2016_Zhenjie_CEN
RFP_2016_Zhenjie_CEN
 
Alignment and Exposure System
Alignment and Exposure System Alignment and Exposure System
Alignment and Exposure System
 
Partha Mishra_Lithography - Seminar & Technical Writing Topic.pptx
Partha Mishra_Lithography - Seminar & Technical Writing Topic.pptxPartha Mishra_Lithography - Seminar & Technical Writing Topic.pptx
Partha Mishra_Lithography - Seminar & Technical Writing Topic.pptx
 

EUV Lithography Final

  • 1. 1 Optical Design for Nano Lithography Ehud Ben-Ari Supervised By: Professor Shlomo Hava
  • 2. 2 Table of Contents Abstract...........................................................................................................................4 Optical Design for -Nano Lithography............................................................................4 1 .Theoretical Background Lithography and its challengesin IC process.............................5 1.1 The lithography process:.........................................................................................6 1.2 Lithography role in the IC (Integrated Circuit) fabrication.........................................8 1.3 Optical lithography challenges ................................................................................9 1.3.1- Resolution.......................................................................................................9 1.3.2 Diffraction:..................................................................................................... 12 1.4 Lithography methods to achieve high resolution ................................................... 14 1.4.1 Proximity Optical Lithography......................................................................... 15 1.4.2 Contact Optical Lithography............................................................................ 16 1.4.3 Projection Optical Lithography........................................................................ 16 1.4.4 Phase-Shifting Masks...................................................................................... 17 1.4.5 Increasing the NA (numerical aperture)- Immersion lithography...................... 19 1.4.6 Lowering the wave length λ- Ultra-Violet Lithography..................................... 20 2. EUVL- Extreme Ultra Violate Lithography.................................................................... 23 2.2 Multi Layers Optics:.............................................................................................. 27 2.2.1 Mo/Si ML Requirements:................................................................................ 29 2.3 - ML Design for EUV systems................................................................................. 30 2.3.1 Refractive index in the EUV spectral region:.................................................... 30 2.3.2 Reflectivity in EUV spectral region: ................................................................. 31 3. Lithography Photo masking ........................................................................................ 33 3.1 DUV Light Photo Masks and masking concept........................................................ 33 3.1.2 Mask substrate and absorber:......................................................................... 34 3.1.3 Pellicles.......................................................................................................... 35 3.1.4 Critical parameters for masks ......................................................................... 36 3.1.5 Mask transmission and thermal expansion...................................................... 37 3.1.6 Mask reflectivity............................................................................................. 37 3.1.7 Mask flatness................................................................................................. 38 3.1.8 Defect level.................................................................................................... 38 3.2 EUV Mask and masking concept............................................................................ 39
  • 3. 3 3.2.1 Mask substrate and surface requirements:...................................................... 40 3.2.2 Mask fabrication and defects requirements .................................................... 41 3.2.3 Absorber stack and Backside conductivity coating........................................... 45 3.2.4 Shadowing Affect........................................................................................... 48 3.2.4 Bossung curve asymmetry and focus shift....................................................... 49 4. Simulation.................................................................................................................. 51 4.1. System Design..................................................................................................... 51 4.2. Reflectivity in EUV spectral region simulation....................................................... 54 4.4. Paper Observations and conclusions .................................................................... 56 4.5 Simulation Code ................................................................................................... 60 5. Reference................................................................................................................... 65
  • 4. 4 Abstract Optical Design for -Nano Lithography In today’s IC (integrated circuit) semiconductor, there are over a billion transistors. The optical lithography process (photolithography) is one of the key processes in reducing the limiting transistor dimensions (critical dimensions) onto silicon. The dominant manufacturing technology today uses wavelengths of 193/248nm, with which it is possible to achieve critical dimensions of up to 100nm (after additional optical and process solutions). The radiation, which is transferred through a mask, will pass through a series of lenses and will be focused on the light sensitive polymer (photo sensitive polymer/photoresist), which covers the silicon wafer. Decreasing critical dimensions further will require the use of wavelength in the EUV spectral region, 10-14nm. Changing radiation from DUV to EUV poses challenges and optical adaptations, for example: lenses, photoresist and masks. This paper will include an overview of several lithography methods being used today and a few that are under development stages ("NGL-" Next Generation Lithographies). It will also describe and analyze the planning challenges of EUVL Masks for mask technologies used today. Based on this paper, a simulation of a system will be presented, which will show the changes in the EUVL (Extreme UV Lithography) System output based on a user input.
  • 5. 5 1 .TheoreticalBackground Lithography and its challenges in IC process
  • 6. 6 1.1 The lithography process: Lithography creates a resist image on a pattern- usually a silicon wafer. The subsequent etching, lift off, or ion implantation process is masked by the resist image at the areas dictated by the lithography mask. Hence, the thin-film polymer material on the wafer (called photo resist) is selectively removed, built up, or its characteristics are selectively altered. Replicating the mask pattern produces the resist image (except when mask making or direct writing on a wafer which will not be discussed in this paper). The condenser lens collects light from the source and illuminates the mask pattern. Light then passes through the imaging lens to form an aerial image and to selectively expose the resist. After the development process, in which the exposed parts (in a positive type PR) are dissolved in a solution, the resist image is produced. Figure 1.1- Basic optical lithography process Schematic flow of the lithography process, through develop and etch.
  • 7. 7 Schematic flow of the lithography process, through develop and ion implant. A typical sequence of lithographic processing steps, illustrated for a positive resist
  • 8. 8 Representation of the integration of the various lithographic process steps into a photolithography cell. 1.2 Lithography role in the IC (Integrated Circuit) fabrication Lithography is an important part of semiconductor manufacturing technology because it is needed for every masking level. In a typical 0.13 µm CMOS integrated circuit fabrication run with four metal layers, there are more than 30 masking levels using 474 processing steps, in which 212 steps are related to lithographic exposure, and 105 steps are related to pattern transfer using a resist image. The lithography process is often the limiting factor of entering the next technology node. For every node, the minimum feature sizes and their separations are reduced by a factor of √2. Therefore, the succeeding generation to 1-µm lithography is 0.7 µm, followed by 0.5 µm, 0.35 µm, 0.25 µm, 0.18 µm, 0.13 µm, 90 nm, 65 nm, 45 nm, 32
  • 9. 9 nm, 22 nm, etc., necessitating improvements in resolution and overlay accuracy that call for many of the following improvements: numerical aperture (NA) increase, wavelength reduction, suppression of reflections, better resists, better masks, higher stepping accuracy, higher-precision alignment, less lens distortion, better wafer flatness, and many others. Over the past three decades, the length-scales of the component devices have decreased from around 15μm to around 30nm. However, the means by which the components are manufactured, optical lithography remains principally the same. Optical lithography comprises the formation of images with visible radiation in a photo resist using proximity or projection printing. These methods rely upon a mask to form the beam for the necessary image to be formed on the resist. 1.3 Optical lithography challenges 1.3.1- Resolution VLSI devices consist of highly complicated and dense circuit patterns. Lithography, supported by optical and process techniques, can offer resolutions of around 100nm. However, as demand increases for smaller devices, the resolution offered by current techniques will not be satisfactory. Optical lithography, in its current form, is bound by some key parameters – numerical aperture, depth of field, and resolution. The unexposed parts of the resist gradually receive radiation because of diffraction in the system and scattering in the resist and substrate layers, and hence, this affects the resolution of the system. Because the mask essentially acts as a set of slits, we get diffraction within the optical lithography system. Waves from the light source are emitted spherically. Therefore, we also get spherical waves from the aperture. If the screen (or in this case, the resist) is close to the aperture (or mask), then the curvature of the spherical wave is important. This results in an image which will be similar to the aperture, and is known as Fresnel diffraction. As the distance between the aperture and the screen is increased, the image will look less like the aperture. Beyond a certain distance, known as the Rayleigh distance (RD), there will be little change in the shape of the image,
  • 10. 10 only its size will change. This is due to the curvature of the spherical wave becoming negligible. This diffraction regime is known as Fraunhofer diffraction (see diffraction in next section). Rayleigh Distance is given by: 𝑅 𝐷 = 𝑎2 𝜆⁄ Where ‘a’ represent the aperture and λ is the wavelength. Two neighbored apertures will be distinguishable (resolution), when the maximum intensity of one set of Airy's Rings coincides with the first minima of the second set of Airy's Rings. The intensity of Airy’s Rings from two neighboring apertures. The combined profile is shown as a dotted line. This figure shows the Rayleigh resolution limit, equal to a distance of 𝐷1 2 on the screen (a resist in the case of lithography). After some optical manipulation and calculation we receive the below resolution equation: 𝑅 = 𝑘1 𝜆 𝑛 sin 𝛼 = 𝑘1 𝜆 𝑁𝐴
  • 11. 11 Here, R is the minimum resolvable separation ( 𝐷1 2 ), k1 is a constant dependent on the absorbing properties of the resist, and the type of radiation used in the system, λ is the wavelength of the exposing radiation and n is the refractive index of the resist. The quantity nsinα is usually referred to the Numerical Aperture (NA). The image formed in an optical system is only brought to focus in the appropriate plane (or more accurately, a sphere). The depth of field is the distance over which the image retains an acceptable focus, The depth of field of an optical system, represented here as DOF, is the distance either side of the plane of optimum focus over which the image retains an acceptable focus 𝐷𝑂𝐹 = 𝑘2 𝜆 𝑁𝐴2 Comparing the resolution equation to the DOF one, it’s clear that the Resolution and depth of field are in conflict. Depth of field is improved (increased) by increasing the wavelength of the radiation and by decreasing the numerical aperture. However, decreasing the wavelength and increasing the numerical aperture improve resolution. Therefore, a compromise is needed between resolution and depth of field. With
  • 12. 12 today's equipment, the positioning of the resist within the lithographical system can be accurately controlled, and depth of field becomes less of an issue. Obviously, the industry cannot neglect the depth of field of the system, but resolution is the primary factor. 1.3.2 Diffraction: The first and most basic phenomenon occurring in projection imaging and affecting resolution is the diffraction of light. Diffraction is usually thought of as the bending of light as it passes through an aperture, which is certainly an appropriate description for diffraction by a lithographic mask. More correctly, diffraction theory simply describes how light propagates, including the effects of the surroundings (boundaries). A simple interpretation of the physical principle behind diffraction is best captured by Huygens principle: any wavefront can be thought of as a collection of radiating point sources. The new wavefront at some later time can be constructed by summing up the wavefronts from all of the radiated spherical waves. Huygens’ principle: any wavefront can be thought of as a collection of point sources radiating spherical waves
  • 13. 13 For a simple chrome-glass mask, the mask electric-field transmittance for a normally incident plane wave of illumination is binary: tm(x,y) is 1 under the glass and 0 under the chrome. Let the x′–y′ plane be the diffraction plane, that is, the entrance to the objective lens, and let z be the distance from the mask to this diffraction plane. We will also assume monochromatic light of wavelength λ and that the entire system is in a medium of refractive index n. Defining the spatial frequencies of the diffraction pattern (which are simply scaled coordinates in the x′–y′ plane) as 𝑓𝑥 = 𝑛𝑥′ 𝜆𝑧 and 𝑓𝑦 = 𝑛𝑦′ 𝜆𝑧 , the electric field of our diffraction pattern, Tm(fx,fy), is given by the Fraunhofer diffraction integral: 𝑇 𝑚( 𝑓𝑥, 𝑓𝑦) = ∬ 𝐸𝑖( 𝑥, 𝑦) 𝑡 𝑚(𝑥, 𝑦)𝑒−2𝜋𝑖(𝑓𝑥 𝑥,+𝑓𝑦 𝑦) ∞ −∞ 𝑑𝑥 𝑑𝑦 Where Ei is the electric field incident on the mask (and is just 1 for our unit amplitude, normally incident plane wave). It can be seen that the diffraction pattern (the electric field distribution as it enters the objective lens) is the Fourier transform of the mask pattern transmittance. The Fourier transforms for normally incident plane wave illumination (Ei = 1) of isolated space gives rise to a sinc function ( sin 𝑥 𝑥 ) diffraction pattern, and the equal lines and spaces yield discrete diffraction orders:
  • 14. 14 Two typical mask patterns, (a) an isolated space and (b) an array of equal lines and spaces, and the resulting Fraunhofer diffraction patterns assuming normally incident plane wave illumination. Both tm and Tm represent electric fields Where δ is the Dirac delta function, w is the spacewidth and p is the pitch (the linewidth plus the spacewidth). The delta function is the mathematical representation of a point of light. 1.4 Lithography methods to achieve high resolution As mentioned above, there is a need to keep lowering the printed dimension of the transistor to improve the IC device (according to Moors low). In order to do that, a lithography method which allow as low as possible CDs (critical dimensions), with good resolution should be chosen. From Rayleigh’s resolution equation it can be concluded that the most important variables to change in order to improve resolution are the wavelength and the numerical aperture (λ and NA). However, we can see that a greater improvement in resolution will be given by decreasing the wavelength of the exposing radiation (as
  • 15. 15 we want to make R as small as possible). Therefore, in order to improve the critical dimensions on the resist λ has to be beyond optical wavelengths with a view to using ultra-violet and X-ray radiation in lithographical systems. Phase-shifting masks are under development within the industry, and lasers are being used within the industry to give more coherent light sources, which in turn results in a more uniform resolution across the resist. At present, most lithography systems for LSI circuits are using partially coherent light from a KrF laser (λ=248nm) or ArF (λ=193nm) in combination with some resolution enhancement techniques including phase-shifting masks and advanced resists. The microelectronic industry is constantly striving for reductions in component sizes. In order to achieve this, the lithographic industry either has to improve optical lithography by using phase-shifting masks, or look to shorter wavelength radiation to improve resolution. Another element to keep in mind is that the lithographic method has to support high volume manufacturing, meaning that even if the specific method bring good resolution results it might not be usable in the industry, as it is very slow to apply on the wafer. 1.4.1 Proximity Optical Lithography Proximity optical lithography is relatively simple, as it requires no image formation between the mask and the resist. The proximity system is essentially made up of a light source, a condenser, a mirror, a shutter, a filter (the mask) and the stage on to which the resist is positioned. In proximity lithography, the mask-resist separation is usually around 20 to 50μm, and leads to an acceptable resolution for today's devices of around 500nm. However, diffraction occurs between the mask and the resist, and so we can increase resolution by narrowing the mask resist separation. Indeed, a much better resolution would be achieved if the resist and the mask were in contact.
  • 16. 16 1.4.2 Contact Optical Lithography If we bring the mask into contact with the resist, we remove any diffraction that may occur between the mask and the resist. By doing this, the resolution of the system is increased without having to change the exposing wavelength or the numerical aperture of the system. In contact lithography, the mask is pressed against the resist with a pressure of typically around 0.3atm. The system is then exposed using light with a wavelength of around 400nm. This makes resolution of around 0.5μm possible, but the very nature of contact between surfaces means that resolution will vary across the resist. The contact also results in deformity of both the resist and the mask, and therefore the mask can only be used for a short time (proximity lithography). However, provided the masks are relatively inexpensive to produce, and the deformity to the resist is acceptably small, contact lithography is a better method to use than proximity lithography as it produces an improved resolution. 1.4.3 Projection Optical Lithography Projection optical lithography offers higher resolution than proximity and contact printing. Projection printing relies on an image formation system between the mask and the resist. Because the beam is focused between the mask and the resist, the resolution of the system is good compared to the above techniques. The projection system consists of several sub-systems, each of which can be manipulated to improve the overall resolution of the system. The numerical apertures of the lenses can be increased up to around 1.5, the practical limit (sinα term has a maximum of 1, n typically 1.5). Substance Velocity of Light kps Refractive Index Space 299,792 1.00 Air 299,890 1.00 Water 225,442 1.33 Glass 197,349 1.52 Diamond 124,083 2.42
  • 17. 17 Refractive index for few materials- Calculated based on ((𝑆𝑝𝑒𝑒𝑑 𝑜𝑓 𝑙𝑖𝑔ℎ𝑡 𝑖𝑛 𝑎𝑖𝑟)⁄ (𝑆𝑝𝑒𝑒𝑑 𝑜𝑓 𝑙𝑖𝑔ℎ𝑡 𝑖𝑛 𝑀𝑒𝑑𝑖𝑢𝑚)) 1.4.4 Phase-Shifting Masks For a conventional chrome-on-glass mask, the idealized mask transmittance is considered to be binary: the light is 100 % transmitted through the glass areas and 100 % blocked by the chrome. The resulting the electric field transmittance function tm(x,y) that is either 0 or 1 (and thus the term ‘binary’ mask to describe this type of transmission). A phase-shifting mask (PSM) modifies not only the amplitude of the transmitted electric field but the phase of the transmitted light as well. The principle of phase-shifting masks: The intensity received by the resist in the region between the two intensity peaks becomes negligible. Therefore, we can bring
  • 18. 18 the peaks closer together, and therefore improve the resolution of the system (Intensity is proportional to E2). Two nearby regions of the mask transmit 100 % of the monochromatic light, but experience different optical path lengths. In one region, the light passes through extra glass (or fused silica) of thickness d and refractive index ng. In a nearby region, the light travels through air of the same thickness (with refractive index of 1). The phase difference between two plane waves traveling perpendicularly through the mask will be: ∆∅ = 2𝜋𝑑(𝑛 𝑔 − 1)/𝜆 By adjusting the thickness of this extra layer of glass, 1800 phase difference between the two waves can be obtained: 𝑑180 = 𝜆 2(𝑛 𝑔 − 1)
  • 19. 19 1.4.5 Increasing the NA (numerical aperture)- Immersion lithography Typically, the projection optics and the wafer stage occur in air or in a vacuum, in order to increase resolution, which means the refractive index n is 1.0 and NA = sinθ. The numerical aperture is, therefore, entirely decided by the size of the projection lens and cannot be bigger than 1, and more practically is ≤ 0.93. Immersion technology provides another way to increase the NA: by increasing n. The gap between the last lens element and the resist can be filled with a liquid and all liquids have much higher refractive indices n than air.
  • 20. 20 n – Refractive index (g-glass, f- liquid medium, r-substrate, 0-air) This subject will be discussed in details in this paper. 1.4.6 Lowering the wave length λ- Ultra-Violet Lithography In order to keep pace with the demand for the printing of ever smaller features, lithography tool manufacturers have found it necessary to gradually reduce the wavelength of the light used for imaging and to design imaging systems with ever larger numerical apertures. Moving forward according to Moors law, and examining the next generation lithography (NGL) techniques by the largest companies, shows that there is high likelihood, for EUV technology to be chosen as the successor of optical lithography. Shortening the wavelength from optical to ultra-violet offers a viable alternative to optical lithography as the requirement for smaller circuit patterns increases beyond the present capabilities of optical lithography. Extreme Ultra-Violet (EUV) lithography uses the same principle as the projection optical system. Using ultra-violet wavelengths of 11-13nm gives a resolution which is significantly better than the optical system. Using EUV lithography compared to 193nm lithography in use today, present few new challenges: The absorption of radiation at this short wavelength is very strong. Therefore, lens-based refractive optics cannot be used in this lithographic system. Instead, a reflective optical system must be used. Multi-layer devices which rely on interference for reflection must be used. In the U-V region,
  • 21. 21 these devices usually have a reflectivity of only 60-70%, and hence the number of "mirrors" in the system must be kept to a minimum or the intensity level reaching the resist will be insufficient. The requirement for fewer optical components means that asymmetric mirrors need to be used. Such mirrors need to be extremely precise, with errors of the order of 0.1nm. The multi- layer reflectors consist of a large number of alternating layers of materials having dissimilar optical properties. They provide a constant reflectivity when the thickness of each layer is λ/2. Masks- EUVL masks are reflective. They consist of a patterned absorber of EUV radiation placed on top of a multi layer reflector deposited on a robust and solid substrate. The reflectance spectrum of the mask must be matched to that of the multi layer-coated mirrors in the light path. Taken from: http://www.nist.gov/pml/div685/extreme-uv-lithography.cfm
  • 22. 22 The source of the U-V radiation- Radiation of this wavelength is more energetic than visible light, and therefore we must have higher-powered energy sources to produce the U-V radiation. Eventually a source will be required that reliably provides sufficient power to yield adequate wafer throughput in a manufacturing tool. EUV Absorbent Resists- The main problem to be confronted in developing a satisfactory photoresist for EUVL is the strong absorption of EUV radiation by all materials. The absorption depth in standard organic resists used today is less than 100nm. EUV resists will most likely be structured so that printing occurs in a very thin imaging layer at the surface of the resist.
  • 23. 23 2. EUVL- Extreme Ultra Violate Lithography Continued improvements in optical projection lithography have enabled the printing of ever finer features, the smallest feature size decreasing by about 30% every two years. This has allowed the integrated circuit industry to produce ever more powerful and cost-effective semiconductor devices. On average, the number of transistors in an integrated circuit has doubled every 18 months. Currently, the most advanced lithographic tools used in high-volume manufacture employ deep-ultraviolet (DUV) radiation with a wavelength of 193 nm to print features that have line widths as small as 100 nm. Potential successors to optical projection lithography are being aggressively developed. These are known as “Next-Generation Lithographies” (NGLs). EUV lithography (EUVL) is one of the leading NGL technologies (others include X-Ray lithography, ion beam projection lithography, and electron-beam projection lithography). In many respects, EUVL may be viewed as a natural extension of optical projection lithography since it uses short wavelength radiation (light) to carry out projection imaging. In spite of this similarity, there are major differences between the two technologies. Most of these differences occur because the properties of materials in the EUV portion of the electromagnetic spectrum are very different from those in the visible and UV wavelength ranges. EUVL provides photon based single exposure technique that resembles current optical lithography techniques. With 14X reduction in wavelength compared to 193nm technology, EUVL provide significant advantage in diffraction limited resolution. But with such a dramatic drop in wavelength, the imaging system is substantially different from existing systems. First, any substance heavily absorbs EUV light, even gases- the optical path must be in a vacuum. Second, following its heavy absorption characteristics, there is no transmitting material- EUV imaging depends on reflection. Generating EUV photons from hot and dirty plasma sources requires new materials (for long optic lifetime), and new optical design for efficient collection of the EUV light.
  • 24. 24 The need for reflective optics places a major challenge on the mask industry as for the defect free and cost effective requirements. In addition low projection optics aberration for EUV light requires polishing to a small fraction of the 13.5nm exposure wavelength. These challenges, along with few solutions will be discussed in this paper. Schematic diagram of EUVL system Taken from: http://spie.org/x51899.xml?pf=true&ArticleID=x51899
  • 25. 25 A photo of a real EUVL system: ASMLs NXE:3100 Taken from: http://www.mysemicondaily.com/blog/2010/7/6/asmls-pre-production-euv- tool-achieves-first-light.html 2.1 EUVL Optical design configuration Improving lithography system as mentioned previously is guided by the need to receive lower resolution and higher depth of focus. This is represented by the equations: RES = k1 λ/ NA And DOF = k2 λ/ (NA)2 Where λ is the wavelength of the radiation used to carry out the imaging, and NA is the numerical aperture of the imaging system. These equations show that better resolution can be achieved by reducing λ and increasing NA. The penalty for doing this, however, is that the DOF is decreased. The case k1 = k2 = ½ corresponds to the usual definition of diffraction-limited imaging. In practice, however, the acceptable values for k1 and k2 are determined experimentally and are those values which yield the desired control of critical dimensions (CD’s) within a tolerable process window.
  • 26. 26 Lithography system performance has a major impact on determining these values. Other impacting factors include the contrast of the resist being used and the characteristics of any etching processes used. In the past, values for k1 and k2 greater than 0.6 have been used comfortably in high-volume manufacture. L.H.S Plot: The k1 and DOF values associated deferent lithography methods assuming k2 = k1 and NA = 0.6 R.H.S plot: The dashed black line shows the location of points corresponding to a resolution of 100 nm. The solid red line shows the location of points for which the DOF is 0.5 µm. Points in the region between the two lines correspond to situations in which the resolution is 100 nm or better, and the DOF is 0.5 mm or longer (faverable setuation). Taken from: Intel Technology Journal Q3’98- “EUV Lithography—The Successorto Optical Lithography?” Page 2 k1 Values for deferent NA in the EUV region However, it has been necessary to extend imaging technologies to ever better resolution by using smaller values for k1 and k2 and by accepting the need for tighter
  • 27. 27 process control. EUVL is capable of printing features of 100 nm and smaller while achieving a DOF of 0.5 µm and larger. 2.2 Multi Layers Optics: If wavelengths of light in the range of 11–14 nm is used, it is possible to construct reflecting optics of moderate efficiency (> 60%) using multilayer films. This opens up the possibility of projection optics and reduction imaging. With a numerical aperture of 0.25, a wavelength of ~13.5 nm, and a k1 value of 0.6, the resolution is 𝑅𝐸𝑆 = 𝑘1 𝜆 𝑁𝐴 = 32𝑛𝑚 Multilayer film stack Peak wavelength of normal incidence Reflectance Mo/Si 13.4 67.50% Mo2C/Si 13 61.80% Mo/Be 11.3 70.20% MoRu/Be 11.3 69.30% Reflection occurs at interfaces between materials of different indices of refraction. The larger the difference in refractive index the greater the reflectivity. At wavelengths < 50 nm, all materials have indices of refraction ≈ 1. Thus, it is difficult to create a highly reflective interface. At EUV wavelengths, it has proven possible to make mirrors with moderate reflectivity, in the range of 60–70%, by the use of multilayers. Multilayer reflectors are made by depositing alternating layers of high-Z and low-Z materials, giving a small but effective difference between refractive indices at each interface. By making the periodicity d of the multilayer stack satisfy the Bragg condition, the net effect of small reflectivity at each interface is moderately high reflectivity overall when the stack has a sufficient number of layers: 𝑑 = 𝑚𝜆 2𝑐𝑜𝑠𝜃
  • 28. 28 Taken from: http://spie.org/x33220.xml The theoretical reflectance properties of a normal incident MO/Si (Molybdenum- Silicon) ML are shown in the figure below. These coatings consist of a large number of alternating layers of materials having dissimilar EUV optical constants, and they provide a resonant reflectivity when the period of the layers is approximately λ/2. If not designed properly, the ML can induce amplitude and phase error at the exit pupil of the imaging system. These errors can bring the system to have poor critical dimension uniformity across the field.
  • 29. 29 The most common EUV multilayers are made of alternating layers of Mo and Si, and they function best for wavelengths of about 13 nm. Curve showing the normal incidence reflectivity and phase upon reflection of a MoSi ML as a function of wavelength. The coating is designed to have peak reflectivity at 13.4 nm The EUV reflectivity is only in the order of a few percent. Reflectivity is built up with a multilayer stack consisting of 40- to 50-layer pairs of Mo and Si, to achieve 65~70% reflectivity, enabling an all reflective optical system that includes the illumination optics, the mask, and the imaging optics. 2.2.1 Mo/Si ML Requirements: The primary materials-dependent requirements for Mo/Si interference coatings are maximum reflectivity, sufficient stability, and minimum residual stress. Controlling these characteristics is critical for the efficient operation of an EUVL system. A high peak reflectivity of Mo/Si multilayer mirrors is extremely important. Considering an all-reflective EUVL system with Mo/Si mirrors (illumination optics, projection optics, and masks), the difference between two sets of multilayer mirrors with respectively, 70 and 68 percent reflectivity results in a 30 percent difference in integral light intensity at the wafer plane (the peak reflectivity is not the only key factor). The power on the wafer is given by the integral of the spectral power of the source and the multiplied reflectivity from the N mirrors of the EUVL system: 𝑃𝑤 = ∫ 𝑃𝑠(𝜆)∏ 𝑅 𝑘( 𝜆) 𝑑𝜆 𝑛 𝑘=1 Where Pw is the power delivered to the wafer, Ps is the spectral power of the source, and Rk is the reflectivity of the k-mirror.
  • 30. 30 Table 2.1- Main Requirements for Mo/Si Multiayer Coatings in EUVL Applications 2.3 - ML Design for EUV systems The interaction between electromagnetic radiation and media is described in terms of the complex refractive index 𝑛̃ = n + iβ, which is a function of the wavelength λ. The real (n) and imaginary (β) parts of ñ are termed the refractive index and the extinction coefficient, respectively. The propagation of a monochromatic electromagnetic wave with a wavelength λ through an isotropic and homogeneous medium in the x direction is described by: 𝐸( 𝑥) = 𝐸0 exp (− 2𝜋𝛽𝑥 𝜆 ) exp[ 2𝜋𝑖 𝜆 (𝑛𝑥 − 𝑐𝑡)] Where E0 is the field amplitude at x = 0, and c is the speed of light in vacuum. 2.3.1 Refractive index in the EUV spectral region: In the EUV spectral region, the refractive index n of all materials is less than unity by a small amount δ(n = 1 − δ) and characterizes the refractive properties of a medium or the phase speed of a wave. n (δ) and β are referred to as the optical constants of a medium. In the EUV region, the complex refractive index can be expressed by the complex atomic scattering factor 𝑓 = ( 𝑓1 − 𝑖𝑓2)with:
  • 31. 31 𝑛̃ = 𝑛 + 𝑖𝛽 = 1 − 𝛿 + 𝑖𝛽 = 1 − 𝑁 𝑎 𝑟𝑒 𝜆2 2𝜋 (𝑓1 − 𝑖𝑓2) Where Na is the number of atoms per unit volume, re = 2.82 × 10−15 m is the classic radius of an electron, and λ is the wavelength. Figure 2.3.1- Atomic scattering factors ( f1 and f2) and the optical constants (δ and β) for silicon as a function of the wavelength in the EUV spectral region (5-20nm). In the EUV spectral region, all materials become more absorbing and more refracting with increasing wavelength. 2.3.2 Reflectivity in EUV spectral region: The amplitude reflection coefficients rs and rp for s- and p-polarization, at the boundary of two materials with complex refractive indices ñ1 and ñ2 are obtained from Fresnel equations and are given by: 𝑟𝑠 = 𝑛1̃𝑐𝑜𝑠𝛼1 − 𝑛2̃𝑐𝑜𝑠𝛼2 𝑛1̃𝑐𝑜𝑠𝛼1 + 𝑛2̃𝑐𝑜𝑠𝛼2 𝑟𝑝 = 𝑛1̃𝑐𝑜𝑠𝛼2 − 𝑛2̃𝑐𝑜𝑠𝛼1 𝑛1̃𝑐𝑜𝑠𝛼2 + 𝑛2̃𝑐𝑜𝑠𝛼1 α1 and α2 are the incidence and reflection angles.
  • 32. 32 From Snell law: 𝑛1̃𝑠𝑖𝑛𝛼1 = 𝑛2̃𝑠𝑖𝑛𝛼2 Normal incident reflection coefficient: 𝑟┴ = 𝑛1̃ − 𝑛2̃ 𝑛1̃ + 𝑛2̃ For EUVL Systems The reflectivity of the interface between vacuum (n = 1) and a material with complex refractive index ñ = 1 − δ + iβ (where δ, β << 1) will be: 𝑅┴ = | 𝑟┴|2 = 𝛿2 + 𝛽2 (2 − 𝛿)2 + 𝛽2 ≈ 𝛿2 + 𝛽2 4 A higher reflectivity can be achieved by using a small grazing angle θ (θ =90° − α). Polarization phenomenon.: Rs reflectivity increases monotonically from normal to grazing incidence, whereas Rp shows a dip at α = 45 degrees. The Rp minimum occurs at Brewster’s angle (αB) where the refracted and the reflected beams become perpendicular. Because the refractive index of all materials in the EUV spectral range is very close to unity, the minimum of Rp always appears close to 45-degree incidence. Total reflection: occurs if the radiation penetrates from high- to low-refractive index material (n1 > n2). For grazing angles θ below the critical angle θC, the refracted beam becomes parallel to the interface, and the reflectivity reaches 100 percent.
  • 33. 33 3. Lithography Photo masking This paper will discuss the improvements and challenges when moving towards EUV ML reflection masking, compared to the widely used Chrome on glass reticles. In order to discuss EUV challenges, a short review of DUV Photo Masking concept is needed (DUV Lithography is the controlling technology in IC manufacturing- 193/248nm). 3.1 DUV Light Photo Masks and masking concept A photomask (mask) consists of patterned absorbers and/or phase shifters delineated on a transparent substrate. According to the presence or absence of the absorbers and phase shifters, as well as the number of levels of these patterning materials, the photomask can be classified generically into six main types: 1. Binary intensity masks (BIM)—This is the most commonly used mask type. Absorbers are placed in various areas of the mask, according to the circuit pattern. The absorber completely blocks light as it hits the mask. Alternately, light passes through the mask where no absorbers exist. 2. Binary phase mask (BPM)—There is no absorber on the mask. The only pattern-dependent variation on the mask is a 180-deg phase shift. This is typified by an unattenuated (chromeless) Phase Shift Mask- as explained in page 10. 3. Binary complex mask (BCM)—The absorber passes or blocks light. The phase shifter shifts light by 180 deg. There are phase-shifted and nonphase-shifted areas on the mask.
  • 34. 34 4. Multilevel intensity mask (MIM)—An intensity mask may contain many gray levels for specific applications, such as an exposure calibration mask that contains many calibrated gray levels to facilitate rapid and economical determination of the exposure dosage. 5. Multilevel phase mask (MPM)—The phase shifters produce many levels of phase on the mask; presumably, 0 deg, 90 deg, and 180 deg, or 0 deg, 60 deg, 120 deg, and 180 deg. 6. Multilevel complex mask (MCM)—Either the absorber or the phase shifter is multilevel. The latter is exemplified by the AltPSM in combination with multilevel phase-shifting edges. 3.1.2 Mask substrate and absorber: The most commonly used substrate material for mask in the UV region is fused silica.
  • 35. 35 1. Its thermal expansion coefficient at 0.5 ppm/º C is an order of magnitude smaller than that of other candidates. 2. Fused silica is transparent from the visible region to below 200 nm. It is usable for g-line (435.83 nm), i-line (365.02 nm), KrF (248.35 nm), and ArF (193.39 nm) wavelengths. The mask absorber material is usually chromium because of its hardness and low thickness needed for full absorption. MoSi2 is primarily used as the phase-shifting absorber for the AttPSM. Its property can be fine tuned to exhibit a 6% transmission and a 180-deg phase shift at the same thickness. 3.1.3 Pellicles A pellicle is a transparent membrane17 mounted on a frame that is attached to the mask substrate. It is usually an organic polymer a few micrometers in thickness. The 6-mm frame thickness is carefully chosen so that if a particle not too large in size is attached to the pellicle, the particle is completely out of focus and can, at most, induce a tolerable exposure change in its locality. The pellicle has a huge importance in case of particles. If the reticle is not protected, there will be repeating defects on every wafer at every exposure field. If only one foreign particle falls on the mask during transportation, loading/unloading to the exposure tool, exposure, storage, or any other type of handling, the printed field will be damaged across the entire wafer.
  • 36. 36 The pellicle material must withstand prolonged exposures without reducing its transmission or smoothness. Tiny holes are drilled at the pellicle frame to prevent trapping of harmful vapor generated during exposure. 3.1.4 Critical parameters for masks Critical dimension (CD) control The mean and standard deviation of the critical dimension (CD) designated by the circuit designer are important parameters for the mask. The CD mean must be as close to the desired value as possible. The desired value must be the target value on the wafer multiplied by the reduction factor of the imaging system. A different value may produce a larger error, depending on the feature shape, feature size, feature environment, the optical train, the resist characteristics, and processing conditions. This value is referred to as mask bias. Placement accuracy Each feature on the mask must be located at the desired position with respect to other features on the same mask, as well as on other masks whose images must be overlaid together. Hence, placement error contributes significantly to the overlay accuracy budget. Placement errors are often induced by the mask-making machine, mostly due to difficulties in controlling the position of the writing beam.
  • 37. 37 3.1.5 Mask transmission and thermal expansion The transmission of the mask blank should be above 90%. From the point of view of mask heating, a higher transmission is desirable. After reaching the material transmission limit, the only way to increase transmission is to reduce the mask thickness. Mask heating causes thermal expansion. With a thermal expansion coefficient of 0.5 ppm/0C, raising the temperature of the mask substrate by 10C expands a 150-mm square mask by 75 nm at each side. This can easily be corrected by fine tuning the magnification of the exposure tool, especially in a projection tool. In lensless systems, such as proximity printing, mask thermal expansion can be detrimental to overlay because wafers at different stages of processing can exhibit subtle magnification changes. 3.1.6 Mask reflectivity One of the main concerns with chromium as a mask absorber is its high reflectivity at the side facing the imaging lens. This reflection can reduce image contrast. The figure below shows linewidth versus defocus plots at a range of exposure dosages for mask absorber reflectivity of 66%, 33%, and 10%. The 10% result clearly confirms better linewidth control and exposure tolerance. It is desirable to reduce absorber reflection to 10% or lower.
  • 38. 38 Linewidth versus defocus at different exposures for three levels of mask reflectivity R= Reflectivity, ∆E − Energy (Dose Delta), ∆I − Intensity Delta, ∆W − line Width Delta 3.1.7 Mask flatness The flatness of the mask must be a small part of the depth-of-focus (DOF) budget of the entire imaging system. With a reduction system, the flatness requirement is very relaxed because the DOF tolerance on the mask size is M2, with M being the reduction ratio. For example, with a mask flatness of 500 nm, the focusing error at the wafer side of a 4X reduction system is 31 nm. In addition to ensuring that the two surfaces of the mask blank are well polished and parallel to each other, the mask blank must have sufficient strength to support itself without sagging, which can induce DOF error. 3.1.8 Defect level The defect level is usually specified by the maximum allowable defect size as a function of its vicinity to patterned features on the mask. A programmed defect mask (PDM) consisting of artificial defects varying in size and distance to patterned features is often used to empirically determine defect printability for specification. Simulation is helpful to gain insight for designing the PDM. Because real defects are usually irregular in shape, its printability must be further qualified with aerial image measurement system (AIMS), which consists of a small-field imaging lens and illuminator in an actinic wavelength to mimic the same λ/NA condition. Such an optical system is much easier to build when compared to a scanner or stepper. The NA needs only be 1/M (M being the reduction ratio) of the NA of the exposure system. Therefore, 0.18 NA works for 0.72 NA of a 4X reduction system. Ultimate qualification lies in exposing the mask in the production exposure system and inspecting the printed wafer with wafer inspection tools.
  • 39. 39 3.2 EUV Mask and masking concept EUVL (Extreme ultraviolet lithography) successful implementation as the IC future fabrication technology is dependent mostly on the ability to create suitable mask technology. Since EUV light is strongly absorbed by most materials, reflective optics are applied (as discussed in the EUV Lithography chapter) to all components, including the mask. As a result, EUV mask structure is radically different from that of conventional optical lithography (193/248nm for example). All the mask components must be prepared with great care to consider the 13.5nm reflection, which requires nano-scale thin-film technologies. The reflection of a 13.5-nm wavelength requires a multilayer (ML) structure consisting of many alternating layers of materials having dissimilar EUV optical Constants. The Bragg reflection (constructive interference of the partially reflected beam at several interfaces) is the principle to have EUV reflection, and its efficiency is determined by the structural factor (the thickness of each layer and their ratios) as well as optical properties (refractive index and extinction coefficient). An EUV mask consists of several layers that have unique mechanical, chemical and optical functions. The mask substrate maintains the rigidity of the mask with minimum distortion. It should have a flat, smooth surface with zero defects as well as a low coefficient of thermal expansion (CTE). The ML is one of the key components in terms of mask efficiency- if its reflectivity is below l00%, the mask will cause an optical power loss. The ML capping layer protects masks from degradation and the absorber layer in a mask has a similar purpose to the chrome layer of a deep ultraviolet (DUV) in terms of pattern forming function.
  • 40. 40 Taken from article: Light-shield border impact on the printability of extreme- ultraviolet mask- Takashi Kamo ; Kazuo Tawarayama ; Yuusuke Tanaka ; Yukiyasu Arisawa ;Hajime Aoyama ; Toshihiko Tanaka ; Osamu Suga 3.2.1 Mask substrate and surface requirements: The ML is coated onto the substrate of an EUV mask to attain high reflectivity at the 13.5nm wavelength. The EUV reflectivity is degraded by the roughness of the substrate surface, especially by the high spatial frequency roughness (HSFR), which causes large angle scattering and loss of EUV light form the projection lens. Mask MSFR (also referred to as slope error) leads to intensity variation in the image plane and becomes a source of line edge roughness (LER) or image placement error. For a 0.25-NA optical system, the frequency range for MSFR is l0-6nm <f <0.004/nm and for MSFR 0.004/nm<f <0.02nm. The mask flatness issue comes from the unique EUVL imaging system design, which is a non telecentric illumination system. Any height variation of the patterned mask surface (non flatness) causes an image placement error (or overlay error) on the wafer. HSFR- leads to loss of light throughput as the light scatters outside the exit pupil
  • 41. 41 MSFR-leads to small angle scattering, which causes wave front error and speckle The image placement error on the wafer is defined using the below formula: ∆x = ∆z ∗ tan θ M Where ∆z the non flatness of the mounted mask and θ is the illumination angle. Assuming θ=5 degree illumination angle, the image placement error is about 1/40 of the non flatness of the mounted mask ∆z. ∆Xwafer = ( 1 4 ) ∗ tan(50 ) ∗ ∆Zm ≅ 0.023∆Zm 3.2.2 Mask fabrication and defects requirements Mask fabrication is being done while taking into consideration the importance of defect clean surface. The biggest challenge for the EUV mask blank is defect reduction. Defects on the substrate before ML deposition or defects generated inside
  • 42. 42 the ML during the deposition process have the potential to cause printable defects during the lithography process. Taken from: http://www.drlitho.com/cms/website.php?id=/en/research/emf.html A substrate surface defect tends to propagate through the ML coating, which causes disturbance of the reflected wave front. Depending on the deposition process and defect location, it can be printable or nonprintable. As shown in the figure below, if the defect is greater than a threshold value (diameter and height), it can be printed on the surface of the wafer. This propagated mask surface defect is called a phase detect.
  • 43. 43 Theoretical curve for the printability of a molybdenum-silicon (Mo/Si) ML detect as function of the detect height and full width at half maximum (FWHM). At the EUV wavelength, every material has a refractive index close to 1 and reflectivity of almost 0. As discussed previously, EUV Lithography optics are required to be all reflective and a ML coated mirror consisting of a large number of alternating material layers and having dissimilar EUV optical constants is being used in order to achieve high reflectance by interfering EUV light. According to Fresnel equation, the reflectivity of the boundary is proportional to the difference in the indices of the refraction. The two different layers for the ML have high and low atomic numbers, (Low layer lower number) to maximize the difference in electron density. These materials also should have low EUV light absorption, which is determined by the imaginary part of the refractive index (extinction coefficient). Taken into account process capability as well as optical performance, Mo/Si MLs are known to be among the best choices from the several material combinations for high reflectivity at a wavelength around 13.5nm, and are essential components for EUV exposure optics as well as for EUV masks. The Mo layer- scatters the light, while the Si layer, which has low EUV absorption, works as a spacer for periodic structure. The thickness of the Mo/Si pair (d spacing) and the ratio of the Mo thickness to the two layer period are designed to exhibit maximum reflection but minimum absorption. The period of the ML pairs should satisfy the modified Bragg’s law to produce maximum reflectance at the EUV wavelength: nλ = 2dcosθ√1 − 2δ 1 − (cosθ)2 Where n is an integer, d is he period of the ML pairs (d spacing), λ is the EUV wavelength, θ is the light incident angle to the mask normal (usually 5 deg), and b is the bilayer-weighted n. δn is defined as 1-n, where n is the real part of the refractive index. By increasing the number of bilayers over 40, reflectance can be improved up to about 69%.
  • 44. 44 One reason for losing reflectance in the ML layers is imperfections that accrue during the ML processing. The industry defect goal (High Volume Manufacturing goal), as posted in 2009 was 0.003defects/cm2. The most common imperfections are: Formation of surface oxide- Typical Mo/Si MLs are terminated with Si, but their reflectance tends to decrease in the presence of EUV light and water vapor due to growth of the silicon oxide (SiO) layer. EUV irradiation creates low energy secondary electrons that promote surface oxidation. Silicide formation between Mo and Si layers- results in reflectance degradation as well as ML period contraction. The Silicide layer thickness is interface-dependent. The Silicide thickness of the Mo-on-Si layer is about two times thicker than that of the Si-on Mo interface. A reduction of interdiffusion can be accomplished by introducing thin diffusion barriers between the Mo and Si layers, which leads to a transition from the traditional Mo/Si bilayer structure to an interface-engineered triple or quadruple structure. Measured reflectivity of Mo/Si, Mo/Si/C and Mo/Si/SiC ML mirrors Taken from Ref 23 EUV book Roughness of interfaces- lowers ML reflectance. There is a critical Mo thickness where the roughness increases dramatically due to amorphous-to crystalline translation. In an optimized ML for EUV mask, the typical Mo thickness is well below that critical value. Using ML smoothing techniques during the deposition process can effectively reduce roughness problems caused by small substrate surface defects and substrate surface roughness. The planarization process for smoothing substrate particles is using a secondary ion
  • 45. 45 source can smooth ~80nm diameter particles on the substrate to a height of 0.5nm, which would render them non critical in the EUVL printing process. 3.2.3 Absorber stack and Backside conductivity coating EUV mask requires two or three films, called the absorber stack, which is on top of the ML. The absorber stack consists of a buffer layer, an absorber layer, and an ARC (Low Reflective layer- ARC=Anti Reflective Coating). The buffer layer protects the ML during absorber etch and repair, and the ARC secures the efficiency of optical inspection. The backside metal coating enhances the chucking force when reticle is mounted. Absorber Layer The absorber layer must exhibit several characteristics, including high EUV absorption, stability under EUV radiation, high image contrast during inspection, conductivity high etch selectivity to buffer, and low DUV reflectivity to afford optical surface defect inspection. Cr and TaN are used the most for the absorber layer. Cr, currently used in conventional optical masks, can be deposited with low defect density and low stress. The Cr etch process, however, generally induces a large negative etch bias on the order of 50 to 80 nm. Ta-based materials can be easily etched with Cl2-based chemistry and yield very little etch bias. Aerial image contrast depends on the absorber material (higher with TaN and Cr) as well as the absorber thickness (higher with a thicker absorber). However, a low-refractive-index (n) material like TaN can
  • 46. 46 act as an attenuated phase shift mask (PSM), resulting in an even higher contrast at low thickness (~45 nm in the case of TaN). Wafer plane aerial image contrast as a function of absorber height for various absorbers with no buffer. Buffer Layer: Because the buffer layer must be able to protect the ML during absorber etch and absorber pattern repair, it needs to have the following characteristics:  Low pinhole defectivity  High etch selectivity to the absorber layer during absorber etch and repair  High etch selectivity to ML capping during the buffer layer removal  Low EUV absorption such that a buffer defect can be tolerated without repair  Stability during chemical cleaning. The buffer layer can be made from few deferent materials depending on the process: SiO2, SiON,Ru,C,Cr and CrN. Anti-Reflecting Coating (Low Reflectivity Layer): The EUV mask can inspected using reflection mode only (compared to traditional Cr on glass mask that can be inspected using reflection and transmission). The image contrast depends on the reflectivity difference between the reflector region (ML or repair buffer) and absorber region.
  • 47. 47 The image contrast is than defined as: Image Contrast= RML−Rabs RML+Rabs × 100% When R is the reflectivity of the ML and the Absorber. Since any metal layer would reflect too much of the DUV light (being used for inspection of the mask during production), an ARC is needed for DUV to reduce this reflection as much as possible. The EUV light reflection at the absorber can be decreased easily by adjusting the thickness. Coupled with the typical ML reflectivity of 55 to 60% at the DUV wavelengths the considerable reflection on top of the absorber layer requires the antireflection of the ARC layer to reduce the light reflection from the top of the absorber. Image contrasts of 75% to 88% can be achieved using 33nm SiON-ARC. In addition to the low reflectivity at the inspection wavelength, the ARC needs to have these properties:  Easy to etch with zero etch bias  High etch resistance during buffer etch  Common etch chemistry with absorber etch  Good chemical cleaning durability Backside Conductive Coating: Both in-plane distortion (IPD) and out-of-plane distortion (OPD) will occur due to the residual stress of the ML, buffer layer, absorber layer, and ARC. Since the EUV mask is a reflective mask, it is possible to chuck the mask from its backside to flatten the mask (See section 3.2.1- surface requirements), and reduce the distortion affect. The traditional vacuum chuck will not work in EUV exposure tool, since the wafer exposure is performed in high vacuum. One possible way is to use an e-chuck (electrostatic chuck), and this is why a conductive mask backside coating is required. e-chuck (electrostatic chuck):
  • 48. 48 Taken from: “Determination of local electrostatic forces for EUVL mask chucks”, Gerhard Kalkowskia, Thomas Peschela, Stefan Rissea, Sandra Mullera, Roxann L. Engelstadb, 3.2.4 Shadowing Affect The mask shadowing effect is a unique problem caused by the combination of oblique illumination and mask topography. Due to this effect a printed CD bias and position shift will occur. Optics illustration of EUV mask shadowing effect. Taken from: http://palc.postech.ac.kr/research/euv/euv.htm Compared to the designed mask CD, the mask space features will print smaller and the line features will print larger. This effect is stronger with increasing thickness of the absorber stack: Space CD (Printed) = CD (Designed) - (2d × tan θ) × M Line CD (Printed) = CD (Designed) + (2d × tan θ) × M Where the printed CDs are measured at the wafer plane, M is the EUV scanner reduction factor and θ is the light incident angle to the mask.
  • 49. 49 The shadowing effect can be essentially decreased by reducing absorber height. However, reduction of absorber height has a side effect that is an increased reflectivity of a mask black border resulting in field-to-field stray light due to parasitic reflections. One of the solutions to this problem is optical process correction on field edges. 3.2.4 Bossung curve asymmetry and focus shift The analysis maps a control surface for critical dimensions (CD’s) as a function of the variables of focus and exposure (dose). Most commonly the technique is used to calculate the optimum focus and dose process point that yields the greatest depth-of- focus (DoF) over a tolerable range of exposure latitude. When EUV mask with a given topography is considered, the Bossung curve of a line at a large pitch is tilted and the best focus is shifted. This focus shift is pitch- dependent. From the periodic lines to the isolated lines, the focus shift increases as the pitch increases L.H.S plot- Bussong curve of 30nm dense lines R.H.S plot- Bussong curve of 30nm dense lines at 200nm pitch Both plots are for 100nm TaN absorber mask with no buffer Taken from Ref.87 EUV The maximum focus- shift difference between dense and isolated lines for the TaN case is as large as 40 nm. When the focus shifts are different between different
  • 50. 50 pitches, the scanner focus adjustment cannot compensate for the focus shift of all the lines with different pitches. Since the total depth of focus (DoF) is only about 100 nm in EUVL, a 40-nm focus mismatch between the dense and isolated lines has a big impact on the total lithographic process window. This focus shift depends on the buffer and absorber materials.
  • 51. 51 4. Simulation 4.1. System Design In order to show the difference and system requirements, a simulation using Matlab software was designed. The system code, build based on the previous chapters in this document, intends to enable the user to input few EUV variables and receive few of the system parameters. System constants:  Illumination angle of 1.5 degree for all ML optical components- including reticles  ML Mirror reflection constant at 67.5, according to plot in 3.2.2 for incident illumination angle of 1.5 degree.  ML Mirror reflection is stable on the above value for illumination angle of 0- 13 degrees (according to plot below). This enables more freedom when designing the system and placing the mirrors.  40 layer for all ML optics with 7 nm period System Input by user:  K1 and K2- Process dependent constants  System Wave Length in nm  System Numerical Aperture  Number of mirrors in the system  Input LASER energy (W/cm2)  Mounted Mask non flatness in nm  Mask Space and Line designed CDs  Reticle absorber thickness
  • 52. 52 System output: 1. Resolution (nm)- based on calculation demonstrated in 2.1. This size refers to the best possible half pitch (half the distance between identical features) of a memory cell. 2. Depth of field- Based on calculations demonstrated in 2.1 3. Power delivered to wafer- Based on calculation in 2.2.1 and reflection table 2.1. Power delivered to the wafer is demonstrated here in (W/cm2) units. In real systems, the power is represented in Joule (W*Sec), since the system is scanning the reticle on the wafer and scan time (sec) has a major effect on the amount of energy on the surface of the wafer. 4. Image placement error (nm) on the wafer- Based on calculations demonstrated in 3.2.1 5. Printed space CDs (nm)- Based on calculations demonstrated in 3.2.4 6. Printed Line CDs (nm)- Based on calculations demonstrated in 3.2.4
  • 53. 53 System input interface: System Output: ML Mirror reflection is stable on the above value for illumination angle of 0-13 degrees:
  • 54. 54 Takenfrom: http://en.wikipedia.org/wiki/File:EUV_multilayer_reflectivity_vs_angle_and_polarization.pn g 4.2. Reflectivity in EUV spectral region simulation The EUV optical components are placed under vacuum in order to reduce the absorption of energy. The most commonly used building material for the multilayer optics, are Silicon and Molybdenum. As presented in 2.3.2, the incident angle of reflection has huge affect on the system properties, especially since the system must include multiple ML mirrors to navigate the light to the wafer. Finding the correct angle and the impact on the component reflection is an important part of the system design. Using calculation presented at 2.3.2, the reflection of the interface between vacuum and Si/Mo was simulated. 𝑛̃ = 1 − 𝑁 𝑎 𝑟𝑒 𝜆2 2𝜋 (𝑓1 − 𝑖𝑓2)
  • 55. 55 𝑟𝑠 = 𝑛1̃𝑐𝑜𝑠𝛼1 − 𝑛2̃𝑐𝑜𝑠𝛼2 𝑛1̃𝑐𝑜𝑠𝛼1 + 𝑛2̃𝑐𝑜𝑠𝛼2 𝑟𝑝 = 𝑛1̃𝑐𝑜𝑠𝛼2 − 𝑛2̃𝑐𝑜𝑠𝛼1 𝑛1̃𝑐𝑜𝑠𝛼2 + 𝑛2̃𝑐𝑜𝑠𝛼1 𝑅┴ = | 𝑟┴|2 = 𝛿2 + 𝛽2 (2 − 𝛿)2 + 𝛽2 ≈ 𝛿2 + 𝛽2 4 In order to calculate the refractive index of the interface, the elements properties below have been used: Element Atomic Wight (amu) Density (g/cm3) Atomic scattering factors Si 28 2.33 0.3069 Mo 95.94 10.28 14.4185 W 183.84 19.25 13.0514 Vacuum-Si reflection for 13.5nm wavelength as a function of the incident angle (log scale)
  • 56. 56 Vacuum-Mo reflection for 13.5nm wavelength as a function of the incident angle (log scale) 4.4. Paper Observations and conclusions 1. Resolution and DOF: As seen in the plot above (resolution and DOF change through wavelength), under given conditions, resolution and DOF will yield values of around R=40nm and DOF=140nm. Like in the DUV based technology, it is possible to achieve up to 1.3 times the wavelength using some optical improvements (phase shift masks for example)- EUV systems can print up to 18nm features on the wafers (half pitch). The DOF is improved compared to DUV systems. This can be seen from the DOF equation- The NA is now in values of around 0.3 (compared to values of around 1.3 in DUV immersion based lithography systems). 𝐷𝑂𝐹 = 𝑘2 𝜆 𝑁𝐴2
  • 57. 57 Medium Wavelength(nm) NA Resolution (nm) DOF k1,k2 Air 248 0.9 170 200 0.65 Water 193 1.3 70 95 0.65 Vacuum 13.5 0.3 40 140 0.65 2. Number of optical components in the system: As presented above, the reflectance of a ML optical component in the EUV system will never be 100%. If the Mo/Si mirror is placed in an angle between 0-13 degrees, the reflection should be close to 67%. For this reason, using minimum amount of absorbing optical components to direct the light from the laser source to the wafer is obvious. Source Energy (W/cm2) ML Components Energy on the wafer (W/cm2) % decrees 200 1 134.0 33.0 200 2 89.8 55.1 200 3 60.2 69.9 200 4 40.3 79.8 200 5 27.0 86.5 200 6 18.1 91.0 200 7 12.1 93.9 0.0 20.0 40.0 60.0 80.0 100.0 120.0 140.0 160.0 0 2 4 6 8 ML Vs. Energy on the wafer (W/cm2) Energy on the wafer (W/cm2)
  • 58. 58 3. Impact of mounted reticle non flatness on image misplacement: Transferring the image from the mask to the silicon wafer has to be done in very high accuracy for obvious reasons. Any deformation or non flatness of the reticle will reflect as misplacement error on the wafer. The misplacement on the wafer will grow linearly with the non flatness of the reticle. For scanner reduction factor of 1:4 and illumination angle of 1.50: Reticle Non flatness (nm) Wafer Misplacement Error (nm) 0 0 10 0.06 20 0.13 30 0.2 40 0.26 50 0.32 60 0.4 Using the e chuck shown in 3.2.3, which mounts the reticle in large numbers of vacuum spots, should reduce the reticle non flatness. 4. Impact of shadowing effect on critical dimensions: Even though, as shown above, the reflectivity of the Mo/Si ML is stable between 0-13 degrees, the printed CDs will be affected. For scanner reduction factor of 1:4 and absorber thickness of 20nm and mask designed CDs of 35nm: 0 0.1 0.2 0.3 0.4 0.5 0 10 20 30 40 50 60 Wafer MissPlacmentError (nm) Wafer MissPlacment Error (nm)
  • 59. 59 Incident angle (Degrees) Space CDs Space CD % reduction Line CDs Line CD % increase 0 35 0 35 0 1.5 31 -12 39 11 3 27 -24 43 19 5 21 -40 49 29 7 15 -56 55 36 9 10 -72 60 42 11 4 -89 66 47 13 -2 -106 72 51 15 -8 -122 78 55 -140 -120 -100 -80 -60 -40 -20 0 20 40 60 80 1 2 3 4 5 6 7 8 9 10 %Change CD % Change Vs. Illum Angle Line CD % increase Space CD % reduction
  • 60. 60 4.5 Simulation Code %EUV ML Reticle Design close all; clear all; %%%%%%%%%%%%%%%%%%%%%%%%System Constants%%%%%%%%%%%%%%%%%% %Reduction from reticle to wafer=4 % reticle tetha=1.5 degree %%%%%%%%%%%%%%General Parameters- all in nm n_air=1; prompt = {'Enter k1:','Enter k2:','Enter WaveLength (nm):','Enter NA:','Enter System Mirrors Num','Enter Starting Energy (W/cm2):','Enter Reticle non flatness (nm):','Enter Designed Space CDs (nm):','Enter Designed Line CDs (nm):','Enter Reticel Absorber Thickness(nm):'}; dlg_title = 'System input'; num_lines = 1; def = {'0.65','0.65','13.5','0.25','5','200','30','40','40','45'}; answer = inputdlg(prompt,dlg_title,num_lines,def); re=2.82*10^-15*10^9;%radius of electron in nm k1= str2num(answer{1}); k2= str2num(answer{2}); lambda=str2num(answer{3}); NA=str2num(answer{4}); Mirr=str2num(answer{5}); m=1;
  • 61. 61 tetha=1.5; %%%%%%%%EUV System Res=k1*lambda/NA; DOF=k2*lambda/(NA)^2; d=m*lambda/(2*cos(tetha)); Ps=str2num(answer{6}); Pw=Ps*0.61^(Mirr); Zret=str2num(answer{7}); Sysreduct=4; Plcerr=(1/Sysreduct*tand(tetha)*Zret); SCDd=str2num(answer{8}); LCDd=str2num(answer{9}); thx=str2num(answer{10}); SCDp=SCDd-(2*thx*tand(tetha)*Sysreduct); LCDp=SCDd+(2*thx*tand(tetha)*Sysreduct); h = msgbox({'Resolution ' num2str(Res),'Depth of Field ' num2str(DOF),'Power Deliverd to Wafer (W/cm2) ' num2str(Pw),'Image Placement Err (nm)' num2str(Plcerr),'Printed Space CDs ' num2str(SCDp),'Printed line CDs ' num2str(LCDp)}, 'Results Based on input'); %%%%DOF and resolution vs wavelength lambda=20; for lambda=20:-0.5:0 Res=k1*lambda/NA;
  • 62. 62 DOF=k2*lambda/(NA)^2; figure (2); hold on;grid on; plot(lambda,Res,'-- sb','linewidth',1,'markersize',4,'markerfacecolor','g'); plot(lambda,DOF,'-- sb','linewidth',1,'markersize',4,'markerfacecolor','r'); xlabel('Wavelength (nm)') ylabel('resolution and depth of focus (nm)') legend('Res','DOF') title('R and DOF for per system requerments through wavelength in EUV region'); hold off; end %EUV Reflection for MoSi ML close all; clear all; %%%%%%%%%%%%%%General Parameters- all in nm n_air=1; f1_euv=13.015;%Atomic scatering factores calculated from plots f2_euv=0.1;%%f1_euv;%at 13.5nm wavlegth betha_si_euv=0.0025; Na=63;%mo re=2.82*10^-15*10^9;%radius of electron in nm k1=0.75;
  • 65. 65 5. Reference 1. Larry F. Thompson, C Grant Wilson and Murrae J. Bowden “Introduction to Microlithography” .Second Edition. ASC (1994). PP 20-100 2. Vivek Bakshi “EUV Lithography”. SPIE, (2009) PP 1-46, 326,346 3. Jos Benschop “EUV:Status and Challenges Ahead. Inetrnational Workshop on EUVL, Maui 2010”. ASML (2010) 4. 4.John E.Bjorkhlm “EUV Lithography-The Successor to Optical Lithography?”. Advanced Lithography Depatment, Thchnology and Manufacturing Group, Santa Clara, CA. Intel Technology Journal Q3’98. 5. Atsuhiko Kato “Chronology of lithography Milstones” Version 0.9 (2007) 6. Stefan Wurm “EUV Lithography Development and Research Challangres of the 22 nm Half Pitch” Journal of Photopolymer Science and Technology Vol.22 (2009). 7. K. L. Blaedel. J. S. Taylor. S. D. Hector, at al., “Vendor capability for thermal expansion mask substrates for EUV lithography,” Proc . SPIE 46X8 767—778 (2002). 8. F. Ruggeberg. T. Leutbecher, S. Kirchner, at al., “Flatness Correction of pol-shed quartz glass substrates.” in 2nd International Symposium on extreme UV Lithography. Antwerp, Belgium, Oct. 2003, SEMATECH Inc 9. Bossung Curves; an old technique with a new twist for sub-90 nm nodes-Terrence E. Zavecz – TEA Systems 10. MultiLayer Interface Coating for EUVL Sergiy Yulin 11. Closing the gap for EUV mask repair- T. Breta, R. Jonckheereb, D. Van den Heuvel b, C. Baura, M. Waiblingera, G. Baraliaa bIMEC, Kapeldreef 75, B-3001 Leuven, Belgium 12. P. B. Mirkarimi, E. Spiller. S. L. Baker, et a), “Developing a viable multilayer coating process for extreme ultraviolet lithography reticles,” j Micrrlith., Microfab., Microsys. 3(l), 139—145 (2004). 13. T. W Barbee, Jr., S. Mrowka. and M. C. Hcttrick, “Molybdenum-silicon multilayer mirrors for the extreme ultraviolet" App. Opt. 24(6), 883—883 (1985). 14. J. M. Slaughter. D. W. Schulze, C. R. Hills. et at. “Structure and performance ot Si/Mo multilayer mirrors for the extreme ultraviolet.” J. .Appl. Phys. 76(4). 2134—2156 (1994). 15. P - Y. Yan. in handbook of Photomask Manufacturing S. Ri,i. CRC Press/Taylor & Francis Informa Group, Boca Raton. FL. p. 239 2005).
  • 66. 66 16. Imaging performance improvements by EUV mask stack optimization Natalia Davydovaa, Eelco van Settena, Robert de Kruifa, Dorothe Oorschota, Mircea Dusab,