SlideShare una empresa de Scribd logo
1 de 24
UNIT-5
HARDWARE DESIGN
VERIFICATION
BY
MOUNICA.J
14204127
Introduction to H/W acceleration & emulation.
Emulation architecture overview.
 Hardware-Accelerated Verification Systems
--->FPGA-Based Systems
--->Processor-Based Systems
 Emulator Architecture Overview
--->Small-Scale Emulation and Logic Prototyping with FPGA
--->Large-Scale Emulation with FPGA Arrays
(i) FPGA Arrays with Direct Connection Pattern
(ii) FPGA Arrays with Partial Crossbar
(iii) FPGA Arrays with Time-Multiplexed Connections
 Processor Arrays for Emulation
Design Modelling , Debugging, use models
 Tri-State Bus Modeling
 Independent Edge Clocking
 Aligned Edge Clocking
 Timing Control on Output
 Timing Control on Input
 Generating High-Speed Clocks
 Handling IP
---> Physical IP
---> Source Code IP
 Debugging
 Use Models
In circuit emulation, considerations of successful
emulation
 The Value of In-Circuit Emulation
1. Tests the design with “live” data in real world environment
2. Allows software testing to begin before first silicon, even before tape-out
3. Delivers products to market sooner
4. Reduces risk of shipping products with bugs requiring a product recall
5. Avoids performance reduction as design size grows compared to simulation
 Considerations for Successful Emulation
---> Creating an In-Circuit Emulation Environment
---> Debug Issues with Dynamic Targets
---> Considerations for Software Testing
Introduction to formal property verification FPG
methods & technologies
 Formal Property Verification Methods and Technologies
---> Formal Property Specification
 History of Temporal Specification Languages
---> Hardware Formal Verification Technologies/Engines
 The Automata-Theoretic Approach
 In Search of Greater Capacity
 Binary Decision Diagram-Based Symbolic Model Checking
 Satisfiability Problem-Based Bounded and Unbounded Model Checking
 Unbounded Model Checking Using a SAT Solver
 Symbolic Simulation
 Theorem Proving Methods
---> Modularization and Abstraction
S/W formal verification
 Software Formal Verification
---> Explicit Model Checking of Protocols
---> Explicit and Symbolic Model Checking of Java and C Programs
---> Bounded Model Checking of C Programs
---> Translation Validation of Software
Introduction to design for test , objectives of
DFT
 The Objectives of Design-For-Test for Microelectronics Products
1. Test Generation
--->Test Application
2. Diagnostics
3. Product Life-Cycle Considerations
---> Burn-In
---> Printed Circuit Board/Multi-Chip Module Test
---> Sub)System Support
Overview of chip level DFT techniques
 Brief Historical Commentary
 About Design-For-Test Tools
 Chip Design Elements and Element-Specific Test Methods
---> Digital Logic
---> Embedded Memories
---> Embedded Digital Cores
---> Embedded Field Programmable Gate Arrays
Introduction to automatic text pattern generation
• Test development for complex designs can be time-consuming, sometimes
stretching over several months of tedious work. In the past three decades,
various test development automation tools have attempted to address this
problem and eliminate bottlenecks that hinder the product’s time to market.
• ATPG efficiency is another important consideration. It is influenced by the
fault model under consideration, the type of circuit under test (full scan,
synchronous sequential, or asynchronous sequential), the level of
abstraction used to represent the circuit under test (gate, register transistor,
switch), and the required test quality.
Combinational ATPG
 Implication and Necessary Assignments
 ATPG Algorithms and Decision Ordering
 D-algorithm
 PODEM
 FAN
 SOCRATES
 Boolean Satisfiability-Based ATPG
Sequential ATPG
 Topological-Analysis-Based Approaches
 Undetectability and Redundancy
 Approaches Assuming a Known Reset State
ATPG & SAT
 Search in SAT
 Comparison of ATPG and Circuit SAT
 Combinational Circuit SAT
 Sequential Circuit SAT
 Sequential SAT and State Clauses
Applications of ATPG
 ATPG for Delay Faults and Noise Faults
 Design Applications
---> Logic Optimization
---> Logic Restructuring
 Design Verification
---> Logic Equivalence Checking.
---> Property Checking
---> Timing Verification and Analysis
High level ATPG
• Test generation could be significantly speeded up if a circuit model at a higher
level of abstraction is used.In this section, we discuss briefly the principles of
approaches using RTL models and STGs.
• Justification and fault propagation sequences generated in such a manner may not
be valid and therefore need to be verified by a fault simulator.
• A method of characterizing a design’s functional information using a model
extended from the traditional finite-state machine model, with the capability of
modeling both the data-path operations and the control state transitions.
Introduction to Analog & mixed analog circuit &
analog specifications
 Analog Circuits and Analog Specifications
i. Harmonic techniques
ii. Time-domain measurements
iii. Static measurements
iv. Noise measurements
 In practice, functional testing can include the following tests:
 parametric, which verifies the analog characteristics within a specified tolerance (for
example, voltages, currents, impedances, and load conditions);
 dynamic, which verifies the dynamic characteristics of the system under test — in
particular, a transient analysis in the time domain;
 static, which verifies the stable states of the system.
Testability analysis fault modelling & test
specification
• Testability analysis in analog circuits is an important task and a desirable approach
for producing testable complex systems.
• Testability information is useful to designers who must know which nodes to make
accessible for testing, and to test engineers who must plan test strategies.
• Test generators have become an important part of functional verification of digital
circuits. In the advent of the ever-increasing complexity of designs, decreasing
design cycles, and cost-constrained projects resulting in an increased burden on
verification engineers, design teams are becoming increasingly dependent on
automatic test generators.
Catastrophic fault modelling & simulation parametric
fault worst case tolerance analysis & test generation
• A DC test generation technique for catastrophic faults was developed in [27]. It
is formulated as an optimization problem and includes the effects of normal
parameter variations.
• The following steps are required for fault dictionary construction:
1. Generate the fault list; all possible shorts and opens in a circuit. Two fault-lis
extractors can be used: a layout-based fault list (standard inductive fault
analysis) and a schematic-based fault-list extractor.
2. Compute the output sensitivities with respect to all hard faults in the fault list in
parallel, for example, using the adjoint network method. For example, the initial
value for Rfault can be defined as zero value resistance for the opens and as zero
value conductance for the shorts. The computed Rfault value (cause) is defined as
the smallest resistance value that if added to the circuit, will only deviate the output
parameter to the edge of the tolerance box.
3. From the fault-free circuit output tolerance ðout (effect) and the fault-free output
sensitivities S with respect to all hard faults in the fault list (obtained in step 2), the
following equation is used to compute the fault value Rfault (cause) for all the
defects in the fault list:
Rfault = ðout/Sout (Rfault)
DFT an overview: Analog test bus standard
The IEEE P1149.4 architecture that includes the following elements:
● Test Access Port (TAP) comprising a set of four dedicated test pins: test data in
(TDI), test data out (TDO), test mode select (TMS), test clock (TCLK), and one
optional test pin: test reset (TRSTn).
● Analog test access port (ATAP) comprising two dedicated pins: Analog test stimulus
(AT1) and analog test output (AT2), and two optional pins: inverse AT1 (AT1n)
and inverse AT2 (AT2n) for differential signals.
● Test bus interface circuit (TBIC).
● An analog boundary module (ABM) on each analog I/O.
● A digital boundary module (DBM) on each digital I/O.
● A standard TAP controller and its associated registers.
Oscillation based DFT/BIST
 Currently, oscillation-based approaches seem to be the most applicable in practical
implementations for all types of A/M-S devices.
 The commercial products have been developed and industrial implementations have
beensuccessful as well [49]. The popularity of oscillation-based DfT/BIST
techniques results from the following main characteristics:
 Adaptable for various functional modules: A/M-S, digital, and MEMS devices.
 Signal generator is not required.
 Immune to noise and technology-independent.
 Delivers very good fault coverage for parametric, hard, and functional errors.
 Easy to understand.
PLL, VCO & Jitter testing
 High-Speed Serial Links
• In serial data communication, jitter plays a key role in clock extraction and network timing.
• The recovery of the clock from the data signal poses more stringent requirements on the jitter
of the data signal than would exist when shipping a synchronous clock along with the data
signal.
• The latter is typically done in very short links, where the effort of using a full-fledged clock-
data-recovery receiver does not pay off in terms of silicon area and power consumption.
• Bit-error rate (BER) measurements or eye-diagram plots characterize the signal quality of
serial links.
• BER measurements are subject to statistical uncertainties because of the tradeoff between test
time and measurement accuracy.
Review of Jitter Measurement Testing
 Spectrum Analyzer Measurement
 Real-Time Time Interval Analyzer Measurements
 Repetitive Start/Stop Measurements
 ATE-Based Equipment
 Real-Time Digital Sampling Oscilloscope
 Dedicated Jitter Instrumentation
 BIST and DFT
ADC & DAC testing
 ADC Testing by Available ATE Systems
• Among frequently used mixed-signal circuits, data converters are typical mixed-signal devices
that bridge the gap between the analog and digital world. They determine the overall precision
and speed performances of the system and therefore, dedicated test techniques should not
affect their specifications.
• Linearity — INL, DNL: Integral and differential nonlinearity are the two basic building blocks
for ADC testing. They can be used to detect structural defects in a chip.
• Noise and distortion — SNR, SINAD, and THD: Noise and distortion can be measured
directly using sophisticated ATE instrumentation or calculated from the INL and DNL figures
while taking clock jitter into account.
Histogram based DFT/BIST
 The Elements of HBIST Method
 RF Test Practices
 Testing Transceiver
 Transceiver Loop—Back Technique
• It can be noted that the enhanced loop-back technique represents a functional BIST
solution aimed at enabling current tester platforms to test high-speed interfaces at-
speed, with increased functional test coverage.

Más contenido relacionado

La actualidad más candente

Study on 32-bit Cortex - M3 Powered MCU: STM32F101
Study on 32-bit Cortex - M3 Powered MCU: STM32F101Study on 32-bit Cortex - M3 Powered MCU: STM32F101
Study on 32-bit Cortex - M3 Powered MCU: STM32F101Premier Farnell
 
Pin pointpresentation
Pin pointpresentationPin pointpresentation
Pin pointpresentationLevan Huan
 
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC 02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC IEEE SSCS AlexSC
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applicationsSudhanshu Janwadkar
 
UNIT-II CPLD & FPGA Architectures and Applications
UNIT-II CPLD & FPGA  Architectures   and ApplicationsUNIT-II CPLD & FPGA  Architectures   and Applications
UNIT-II CPLD & FPGA Architectures and ApplicationsDr.YNM
 
Arm programmer's model
Arm programmer's modelArm programmer's model
Arm programmer's modelv Kalairajan
 
Arm cortex-m3 by-joe_bungo_arm
Arm cortex-m3 by-joe_bungo_armArm cortex-m3 by-joe_bungo_arm
Arm cortex-m3 by-joe_bungo_armPrashant Ahire
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDilip Mathuria
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designsijcsit
 
AAME ARM Techcon2013 002v02 Advanced Features
AAME ARM Techcon2013 002v02 Advanced FeaturesAAME ARM Techcon2013 002v02 Advanced Features
AAME ARM Techcon2013 002v02 Advanced FeaturesAnh Dung NGUYEN
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan kumar
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGAvelamakuri
 
Arm cm3 architecture_and_programmer_model
Arm cm3 architecture_and_programmer_modelArm cm3 architecture_and_programmer_model
Arm cm3 architecture_and_programmer_modelGanesh Naik
 
Module 2 ARM CORTEX M3 Instruction Set and Programming
Module 2 ARM CORTEX M3 Instruction Set and ProgrammingModule 2 ARM CORTEX M3 Instruction Set and Programming
Module 2 ARM CORTEX M3 Instruction Set and ProgrammingAmogha Bandrikalli
 

La actualidad más candente (20)

Study on 32-bit Cortex - M3 Powered MCU: STM32F101
Study on 32-bit Cortex - M3 Powered MCU: STM32F101Study on 32-bit Cortex - M3 Powered MCU: STM32F101
Study on 32-bit Cortex - M3 Powered MCU: STM32F101
 
Lpc1768
Lpc1768Lpc1768
Lpc1768
 
Prezentare tcs2011
Prezentare tcs2011Prezentare tcs2011
Prezentare tcs2011
 
Pin pointpresentation
Pin pointpresentationPin pointpresentation
Pin pointpresentation
 
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC 02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC
 
ARM CORTEX M3 PPT
ARM CORTEX M3 PPTARM CORTEX M3 PPT
ARM CORTEX M3 PPT
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
Lecture syn 024.cpld-fpga
Lecture syn 024.cpld-fpgaLecture syn 024.cpld-fpga
Lecture syn 024.cpld-fpga
 
UNIT-II CPLD & FPGA Architectures and Applications
UNIT-II CPLD & FPGA  Architectures   and ApplicationsUNIT-II CPLD & FPGA  Architectures   and Applications
UNIT-II CPLD & FPGA Architectures and Applications
 
Arm programmer's model
Arm programmer's modelArm programmer's model
Arm programmer's model
 
The IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standardThe IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standard
 
Arm cortex-m3 by-joe_bungo_arm
Arm cortex-m3 by-joe_bungo_armArm cortex-m3 by-joe_bungo_arm
Arm cortex-m3 by-joe_bungo_arm
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designs
 
MixedSignal UVM Demo CDNLive
MixedSignal UVM Demo CDNLiveMixedSignal UVM Demo CDNLive
MixedSignal UVM Demo CDNLive
 
AAME ARM Techcon2013 002v02 Advanced Features
AAME ARM Techcon2013 002v02 Advanced FeaturesAAME ARM Techcon2013 002v02 Advanced Features
AAME ARM Techcon2013 002v02 Advanced Features
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
Arm cm3 architecture_and_programmer_model
Arm cm3 architecture_and_programmer_modelArm cm3 architecture_and_programmer_model
Arm cm3 architecture_and_programmer_model
 
Module 2 ARM CORTEX M3 Instruction Set and Programming
Module 2 ARM CORTEX M3 Instruction Set and ProgrammingModule 2 ARM CORTEX M3 Instruction Set and Programming
Module 2 ARM CORTEX M3 Instruction Set and Programming
 

Destacado

Partition problem IN VLSI algorithem automation
Partition problem IN VLSI algorithem automationPartition problem IN VLSI algorithem automation
Partition problem IN VLSI algorithem automationAnil Kumar Sahu
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical designDeiptii Das
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flowAnish Gupta
 
Building a Next-Generation Security Operation Center Based on IBM QRadar and ...
Building a Next-Generation Security Operation Center Based on IBM QRadar and ...Building a Next-Generation Security Operation Center Based on IBM QRadar and ...
Building a Next-Generation Security Operation Center Based on IBM QRadar and ...IBM Security
 
Security Operation Center - Design & Build
Security Operation Center - Design & BuildSecurity Operation Center - Design & Build
Security Operation Center - Design & BuildSameer Paradia
 

Destacado (9)

Partition problem IN VLSI algorithem automation
Partition problem IN VLSI algorithem automationPartition problem IN VLSI algorithem automation
Partition problem IN VLSI algorithem automation
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
SIEM and SOC
SIEM and SOCSIEM and SOC
SIEM and SOC
 
Building a Next-Generation Security Operation Center Based on IBM QRadar and ...
Building a Next-Generation Security Operation Center Based on IBM QRadar and ...Building a Next-Generation Security Operation Center Based on IBM QRadar and ...
Building a Next-Generation Security Operation Center Based on IBM QRadar and ...
 
Security Operation Center - Design & Build
Security Operation Center - Design & BuildSecurity Operation Center - Design & Build
Security Operation Center - Design & Build
 

Similar a Soc.pptx

Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
Bitm2003 802.11g
Bitm2003 802.11gBitm2003 802.11g
Bitm2003 802.11gArpan Pal
 
UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshopInterlatin
 
Automatic Analyzing System for Packet Testing and Fault Mapping
Automatic Analyzing System for Packet Testing and Fault MappingAutomatic Analyzing System for Packet Testing and Fault Mapping
Automatic Analyzing System for Packet Testing and Fault MappingIRJET Journal
 
L1_Introduction.ppt
L1_Introduction.pptL1_Introduction.ppt
L1_Introduction.pptVarsha506533
 
STS Characterization to Production Test
STS Characterization to Production TestSTS Characterization to Production Test
STS Characterization to Production TestHank Lydick
 
Numerical Relaying.pptx
Numerical Relaying.pptxNumerical Relaying.pptx
Numerical Relaying.pptxrohith650557
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXUrmilasSrinivasan
 
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET Journal
 
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock GatingIRJET Journal
 
Online and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using SramOnline and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using Sramiosrjce
 
3 phase energy meter on Zigbee1
3 phase energy meter on Zigbee13 phase energy meter on Zigbee1
3 phase energy meter on Zigbee1Sumit Vyas
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptxjagadeesh276791
 
IRJET-Protection of Buildings from Saltpetre
IRJET-Protection of Buildings from SaltpetreIRJET-Protection of Buildings from Saltpetre
IRJET-Protection of Buildings from SaltpetreIRJET Journal
 
Breakthrough in Quality Management
Breakthrough in Quality ManagementBreakthrough in Quality Management
Breakthrough in Quality ManagementOptimalPlus
 

Similar a Soc.pptx (20)

Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
Bitm2003 802.11g
Bitm2003 802.11gBitm2003 802.11g
Bitm2003 802.11g
 
JTAG
JTAGJTAG
JTAG
 
UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshop
 
Applications of ATPG
Applications of ATPGApplications of ATPG
Applications of ATPG
 
Automatic Analyzing System for Packet Testing and Fault Mapping
Automatic Analyzing System for Packet Testing and Fault MappingAutomatic Analyzing System for Packet Testing and Fault Mapping
Automatic Analyzing System for Packet Testing and Fault Mapping
 
L1_Introduction.ppt
L1_Introduction.pptL1_Introduction.ppt
L1_Introduction.ppt
 
STS Characterization to Production Test
STS Characterization to Production TestSTS Characterization to Production Test
STS Characterization to Production Test
 
Numerical Relaying.pptx
Numerical Relaying.pptxNumerical Relaying.pptx
Numerical Relaying.pptx
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUX
 
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
Online and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using SramOnline and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using Sram
 
3 phase energy meter on Zigbee1
3 phase energy meter on Zigbee13 phase energy meter on Zigbee1
3 phase energy meter on Zigbee1
 
Digital_system_design_A (1).ppt
Digital_system_design_A (1).pptDigital_system_design_A (1).ppt
Digital_system_design_A (1).ppt
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
 
IRJET-Protection of Buildings from Saltpetre
IRJET-Protection of Buildings from SaltpetreIRJET-Protection of Buildings from Saltpetre
IRJET-Protection of Buildings from Saltpetre
 
Breakthrough in Quality Management
Breakthrough in Quality ManagementBreakthrough in Quality Management
Breakthrough in Quality Management
 

Más de Jagu Mounica (7)

ppt
pptppt
ppt
 
hdl
hdlhdl
hdl
 
analog pro.pptx
analog pro.pptxanalog pro.pptx
analog pro.pptx
 
bd
bdbd
bd
 
lp pro.pptx
lp pro.pptxlp pro.pptx
lp pro.pptx
 
mouni.ppt
mouni.pptmouni.ppt
mouni.ppt
 
medical mirror
medical mirrormedical mirror
medical mirror
 

Último

8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitterShivangiSharma879191
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catcherssdickerson1
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
An introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxAn introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxPurva Nikam
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Correctly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleCorrectly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleAlluxio, Inc.
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfROCENODodongVILLACER
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...121011101441
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 

Último (20)

8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
An introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxAn introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptx
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Correctly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleCorrectly Loading Incremental Data at Scale
Correctly Loading Incremental Data at Scale
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdf
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 

Soc.pptx

  • 2. Introduction to H/W acceleration & emulation. Emulation architecture overview.  Hardware-Accelerated Verification Systems --->FPGA-Based Systems --->Processor-Based Systems  Emulator Architecture Overview --->Small-Scale Emulation and Logic Prototyping with FPGA --->Large-Scale Emulation with FPGA Arrays (i) FPGA Arrays with Direct Connection Pattern (ii) FPGA Arrays with Partial Crossbar (iii) FPGA Arrays with Time-Multiplexed Connections  Processor Arrays for Emulation
  • 3. Design Modelling , Debugging, use models  Tri-State Bus Modeling  Independent Edge Clocking  Aligned Edge Clocking  Timing Control on Output  Timing Control on Input  Generating High-Speed Clocks  Handling IP ---> Physical IP ---> Source Code IP  Debugging  Use Models
  • 4. In circuit emulation, considerations of successful emulation  The Value of In-Circuit Emulation 1. Tests the design with “live” data in real world environment 2. Allows software testing to begin before first silicon, even before tape-out 3. Delivers products to market sooner 4. Reduces risk of shipping products with bugs requiring a product recall 5. Avoids performance reduction as design size grows compared to simulation  Considerations for Successful Emulation ---> Creating an In-Circuit Emulation Environment ---> Debug Issues with Dynamic Targets ---> Considerations for Software Testing
  • 5. Introduction to formal property verification FPG methods & technologies  Formal Property Verification Methods and Technologies ---> Formal Property Specification  History of Temporal Specification Languages ---> Hardware Formal Verification Technologies/Engines  The Automata-Theoretic Approach  In Search of Greater Capacity  Binary Decision Diagram-Based Symbolic Model Checking  Satisfiability Problem-Based Bounded and Unbounded Model Checking  Unbounded Model Checking Using a SAT Solver  Symbolic Simulation  Theorem Proving Methods ---> Modularization and Abstraction
  • 6. S/W formal verification  Software Formal Verification ---> Explicit Model Checking of Protocols ---> Explicit and Symbolic Model Checking of Java and C Programs ---> Bounded Model Checking of C Programs ---> Translation Validation of Software
  • 7. Introduction to design for test , objectives of DFT  The Objectives of Design-For-Test for Microelectronics Products 1. Test Generation --->Test Application 2. Diagnostics 3. Product Life-Cycle Considerations ---> Burn-In ---> Printed Circuit Board/Multi-Chip Module Test ---> Sub)System Support
  • 8. Overview of chip level DFT techniques  Brief Historical Commentary  About Design-For-Test Tools  Chip Design Elements and Element-Specific Test Methods ---> Digital Logic ---> Embedded Memories ---> Embedded Digital Cores ---> Embedded Field Programmable Gate Arrays
  • 9. Introduction to automatic text pattern generation • Test development for complex designs can be time-consuming, sometimes stretching over several months of tedious work. In the past three decades, various test development automation tools have attempted to address this problem and eliminate bottlenecks that hinder the product’s time to market. • ATPG efficiency is another important consideration. It is influenced by the fault model under consideration, the type of circuit under test (full scan, synchronous sequential, or asynchronous sequential), the level of abstraction used to represent the circuit under test (gate, register transistor, switch), and the required test quality.
  • 10. Combinational ATPG  Implication and Necessary Assignments  ATPG Algorithms and Decision Ordering  D-algorithm  PODEM  FAN  SOCRATES  Boolean Satisfiability-Based ATPG
  • 11. Sequential ATPG  Topological-Analysis-Based Approaches  Undetectability and Redundancy  Approaches Assuming a Known Reset State
  • 12. ATPG & SAT  Search in SAT  Comparison of ATPG and Circuit SAT  Combinational Circuit SAT  Sequential Circuit SAT  Sequential SAT and State Clauses
  • 13. Applications of ATPG  ATPG for Delay Faults and Noise Faults  Design Applications ---> Logic Optimization ---> Logic Restructuring  Design Verification ---> Logic Equivalence Checking. ---> Property Checking ---> Timing Verification and Analysis
  • 14. High level ATPG • Test generation could be significantly speeded up if a circuit model at a higher level of abstraction is used.In this section, we discuss briefly the principles of approaches using RTL models and STGs. • Justification and fault propagation sequences generated in such a manner may not be valid and therefore need to be verified by a fault simulator. • A method of characterizing a design’s functional information using a model extended from the traditional finite-state machine model, with the capability of modeling both the data-path operations and the control state transitions.
  • 15. Introduction to Analog & mixed analog circuit & analog specifications  Analog Circuits and Analog Specifications i. Harmonic techniques ii. Time-domain measurements iii. Static measurements iv. Noise measurements  In practice, functional testing can include the following tests:  parametric, which verifies the analog characteristics within a specified tolerance (for example, voltages, currents, impedances, and load conditions);  dynamic, which verifies the dynamic characteristics of the system under test — in particular, a transient analysis in the time domain;  static, which verifies the stable states of the system.
  • 16. Testability analysis fault modelling & test specification • Testability analysis in analog circuits is an important task and a desirable approach for producing testable complex systems. • Testability information is useful to designers who must know which nodes to make accessible for testing, and to test engineers who must plan test strategies. • Test generators have become an important part of functional verification of digital circuits. In the advent of the ever-increasing complexity of designs, decreasing design cycles, and cost-constrained projects resulting in an increased burden on verification engineers, design teams are becoming increasingly dependent on automatic test generators.
  • 17. Catastrophic fault modelling & simulation parametric fault worst case tolerance analysis & test generation • A DC test generation technique for catastrophic faults was developed in [27]. It is formulated as an optimization problem and includes the effects of normal parameter variations. • The following steps are required for fault dictionary construction: 1. Generate the fault list; all possible shorts and opens in a circuit. Two fault-lis extractors can be used: a layout-based fault list (standard inductive fault analysis) and a schematic-based fault-list extractor.
  • 18. 2. Compute the output sensitivities with respect to all hard faults in the fault list in parallel, for example, using the adjoint network method. For example, the initial value for Rfault can be defined as zero value resistance for the opens and as zero value conductance for the shorts. The computed Rfault value (cause) is defined as the smallest resistance value that if added to the circuit, will only deviate the output parameter to the edge of the tolerance box. 3. From the fault-free circuit output tolerance ðout (effect) and the fault-free output sensitivities S with respect to all hard faults in the fault list (obtained in step 2), the following equation is used to compute the fault value Rfault (cause) for all the defects in the fault list: Rfault = ðout/Sout (Rfault)
  • 19. DFT an overview: Analog test bus standard The IEEE P1149.4 architecture that includes the following elements: ● Test Access Port (TAP) comprising a set of four dedicated test pins: test data in (TDI), test data out (TDO), test mode select (TMS), test clock (TCLK), and one optional test pin: test reset (TRSTn). ● Analog test access port (ATAP) comprising two dedicated pins: Analog test stimulus (AT1) and analog test output (AT2), and two optional pins: inverse AT1 (AT1n) and inverse AT2 (AT2n) for differential signals. ● Test bus interface circuit (TBIC). ● An analog boundary module (ABM) on each analog I/O. ● A digital boundary module (DBM) on each digital I/O. ● A standard TAP controller and its associated registers.
  • 20. Oscillation based DFT/BIST  Currently, oscillation-based approaches seem to be the most applicable in practical implementations for all types of A/M-S devices.  The commercial products have been developed and industrial implementations have beensuccessful as well [49]. The popularity of oscillation-based DfT/BIST techniques results from the following main characteristics:  Adaptable for various functional modules: A/M-S, digital, and MEMS devices.  Signal generator is not required.  Immune to noise and technology-independent.  Delivers very good fault coverage for parametric, hard, and functional errors.  Easy to understand.
  • 21. PLL, VCO & Jitter testing  High-Speed Serial Links • In serial data communication, jitter plays a key role in clock extraction and network timing. • The recovery of the clock from the data signal poses more stringent requirements on the jitter of the data signal than would exist when shipping a synchronous clock along with the data signal. • The latter is typically done in very short links, where the effort of using a full-fledged clock- data-recovery receiver does not pay off in terms of silicon area and power consumption. • Bit-error rate (BER) measurements or eye-diagram plots characterize the signal quality of serial links. • BER measurements are subject to statistical uncertainties because of the tradeoff between test time and measurement accuracy.
  • 22. Review of Jitter Measurement Testing  Spectrum Analyzer Measurement  Real-Time Time Interval Analyzer Measurements  Repetitive Start/Stop Measurements  ATE-Based Equipment  Real-Time Digital Sampling Oscilloscope  Dedicated Jitter Instrumentation  BIST and DFT
  • 23. ADC & DAC testing  ADC Testing by Available ATE Systems • Among frequently used mixed-signal circuits, data converters are typical mixed-signal devices that bridge the gap between the analog and digital world. They determine the overall precision and speed performances of the system and therefore, dedicated test techniques should not affect their specifications. • Linearity — INL, DNL: Integral and differential nonlinearity are the two basic building blocks for ADC testing. They can be used to detect structural defects in a chip. • Noise and distortion — SNR, SINAD, and THD: Noise and distortion can be measured directly using sophisticated ATE instrumentation or calculated from the INL and DNL figures while taking clock jitter into account.
  • 24. Histogram based DFT/BIST  The Elements of HBIST Method  RF Test Practices  Testing Transceiver  Transceiver Loop—Back Technique • It can be noted that the enhanced loop-back technique represents a functional BIST solution aimed at enabling current tester platforms to test high-speed interfaces at- speed, with increased functional test coverage.