SlideShare una empresa de Scribd logo
1 de 50
Descargar para leer sin conexión
CHIP BASICS
TIME, AREA, POWER, RELIABILITY &
CONFIGURABILITY
Mr. A. B. Shinde
Assistant Professor,
Electronics Engineering,
PVPIT, Budhgaon, Sangli
shindesir.pvp@gmail.com
Contents…
• Introduction,
• Cycle Time,
• Die Area and Cost,
• Ideal and Practical Scaling,
• Power,
• Area–Time–Power Trade-Offs in
Processor Design,
• Reliability,
• Configurability
2
Introduction
• The trade-off (balance achieved between two desirables but incompatible features)
between cost and performance is fundamental to any system design.
• The Semiconductor Industry Association (SIA) regularly makes
projections, called the SIA road map, of technology advances.
• Advances in lithography, makes the transistors smaller.
• The minimum width of the transistor gates is defined by the process
technology.
3
Table refers to process technology generations in terms of nanometers; older
generations are referred to in terms of microns ( μ m).
Design Trade - Offs
• In making basic design trade-offs, we have five different considerations.
1. First is Time: Which includes partitioning instructions into events or
cycles, basic pipelining mechanisms used in speeding up the
instruction execution
2. Second, is Area: The cost or area occupied by a particular feature is
another important aspect of the architectural trade-off.
3. Third, Power Consumption: It affects both performance and
implementation. Instruction sets that require more implementation area
are less valuable than instruction sets that use less area.
4. Fourth, Reliability: Comes into play to cope (deal) with deep
submicron effects.
5. Fifth, Configurability: Provides an additional opportunity for designers
to trade-off recurring and nonrecurring design costs.
4
Design Trade - Offs
• In terms of complexity, various trade - offs are possible.
• For instance, area can be traded off for performance.
• Very large scale integration (VLSI) complexity theory have shown that
bound exists for processor designs.
• It is also possible to trade-off time T for power P.
• Figure shows the possible trade-off involving area, time, and power in a
processor design.
5
Processor design trade - offs
Requirements and Specifications
• The five basic SOC trade - offs provide a framework for analyzing
SOC requirements so that these can be translated into specifications.
• Cost requirements coupled with market size can be translated into die
cost and process technology.
• Requirements for wearable and weight put limit bounds on power or
energy consumption.
• Limitations on clock frequency, can affect heat dissipation.
• Any one of the trade - off criteria for a particular design, have the highest
priority.
6
Requirements and Specifications
• Consider some examples:
• High - performance systems will optimize time at the expense of cost
and power.
• Low - cost systems will optimize die cost, reconfigurability, and design
reuse.
• Wearable systems stress low power (since, the power supply determines
the system weight). e.g. cell phones.
• Embedded systems in planes and other safety - critical applications would
stress reliability, with performance and design lifetime being important
secondary considerations.
• Gaming systems would stress cost (specially production cost,
secondarily, performance).
7
Cycle Time
• The time receives considerable attention from processor designers.
• It is the basic measure of performance;
however, breaking actions into cycles and reducing both cycle count and
cycle times are important but not preferable.
• The way in which actions are partitioned into cycles is important.
• A common problem is having unanticipated “extra” cycles required
by a basic action such as a cache miss.
8
Cycle Time
• Defining a Cycle:
• A cycle (of the clock) is the basic time unit for processing information.
• In a synchronous systems, the clock rate is a fixed value and the
cycle time is determined by finding the maximum time to accomplish
a frequent operation in the machine, such as an add or register data
transfer.
• Cycle time must be sufficient for data to be stored into a specified
destination register.
9
Possible sequence of actions within a cycle
Cycle Time
• A cycle begins when the instruction decoder specifies the values
for the registers in the system.
• These control values connect the output of a specified register to
another register or an adder or similar object.
• This allows data from source registers to propagate through
designated combinatorial logic into the destination register.
• Finally, after a suitable setup time, all registers are sampled by an
edge or pulse produced by the clocking system.
10
Cycle Time
• In a synchronous system:
• The cycle time is determined by the sum of the worst - case time for
each step or action within the cycle.
• However, the clock itself may not arrive at the anticipated time (due
to propagation or loading effects).
• We call the maximum deviation from the expected time of clock arrival
the (uncontrolled) clock skew.
11
Cycle Time
• In an asynchronous system:
• The cycle time is simply determined by the completion of an event
or operation.
• A completion signal is generated, which then allows the next
operation to begin.
• Asynchronous design is generally not used within pipelined
processors because of the pipeline timing constraints.
12
Cycle Time
• Optimum Pipeline:
• At one time, the concept of pipelining in a processor was treated as
an advanced processor design technique.
• From several decades, pipelining has been an integral part of any
processor or controller design.
• The trade - off between cycle time and number of pipeline stages is
treated in the section on optimum pipeline.
13
Cycle Time
• Optimum Pipeline:
• A basic optimization for the pipeline processor designer is the
partitioning of the pipeline into concurrently operating segments.
• A large number of segments allow a maximum speedup.
However, each new segment carries clocking overhead with it, which
can adversely affect performance.
• If we ignore the problem of fitting actions into an integer number of
cycles, we can derive an optimal cycle time, Δt, and
hence the level of segmentation for a simple pipelined processor.
14
Cycle Time
• (a) Unclocked instruction execution time, T .
• (b) T is partitioned into S segments. Each segment requires C clocking
overhead.
• (c) Clocking overhead and its effect on cycle time, T / S .
• (d) Effect of a pipeline disruption (or a stall in the pipeline).
15
Optimal pipelining.
Cycle Time
• Optimum Pipeline:
• Total time required to execute an instruction without pipeline segments is
T nanoseconds.
• Here, we need to find the optimum number of segments S to allow
clocking and pipelining.
• The ideal delay through a segment is Tseg.
Tseg = T/S =
Partitioning overhead is associated with each segment.
• This clock overhead time C (nS), includes clock skew, setup & hold
times of register.
• Now, the actual cycle time (Figure c) of the pipelined processor is the
ideal cycle time T / S + overhead:
16
Cycle Time
• Optimum Pipeline:
• In Ideal pipelined processor, there will not be any delays, but certain
delays can occur due to unexpected branches.
• Suppose, such delays (interruptions) occur with frequency b and have
the effect of invalidating the (S − 1) instructions prepared to enter, or
already in the pipeline (figure d)
• The performance of the processor is:
17
Cycle Time
• Optimum Pipeline:
• The throughput ( G ) can be calculated as
18
If we find the S for which
we can find Sopt, the optimum number of pipeline segments
Cycle Time
• Optimum Pipeline:
• The total instruction execution latency ( Tinstr ) is
19
We can compute the throughput performance G in mips.
Suppose T = 12.0 ns and b = 0.2, C = 0.5 ns.
Then, Sopt = 10 stages.
Determining Sopt can serve as:
A design starting point or
As an important check on an optimized design.
Die Area and Cost
• Cycle time, machine organization, and memory configuration determine
machine performance.
• Determining performance is relatively straightforward when compared to
the determination of overall cost.
• A good design achieves an optimum cost – performance trade - off at a
particular target performance. This determines the quality of a processor
design.
20
Die Area and Cost
• Processor Area:
• SOCs usually have die sizes of about
10 – 15 mm.
• This die is produced in bulk from a
larger wafer, 30 cm in diameter.
• Unfortunately, neither the silicon wafers
nor processing technologies are
perfect.
• Defects randomly occur over the
wafer surface.
21
Die Area and Cost
• Processor Area:
• Large chip areas require an
absence of defects over that area.
• If chips are too large for a
particular processing technology,
there will be little or no yield
(good chips produced in a manufacturing
process).
• Figure illustrates yield versus chip
area.
22
Die Area and Cost
• Processor Area:
23
• Example:
Find the die yield for dies that are 1.5 cm on a side and 1.0 cm on a
side, assuming a defect density of 0.4 per cm 2 and α is 4.
• Answer:
The total die areas are 2.25 cm 2 and 1.00 cm 2 . For the larger die, the
yield is
That is, less than half of all the large die are good but more than two-
thirds of the small die are good.
Die Area and Cost
• Processor Area:
24
Number of die (of area A ) on
a wafer of diameter d .
Die Area and Cost
• Processor Area:
• Suppose a die with square aspect ratio has area A. About N of these
dice can be realized in a wafer of diameter d:
25
• Now suppose there are NG good chips and ND point defects on the
wafer.
• Even if ND > N , we can expect several good chips since the defects are
randomly distributed and several defects would cluster on defective
chips, sparing a few goodones.
Die Area and Cost
• Processor Area:
• Suppose we add a random defect to a wafer; (NG / N) is the probability
that the defect destruct a good die.
• If the defect hits an bad die, it would cause no change to the number of
good die.
• In other words, the change in the number of good die (NG), with respect
to the change in the number of defects (ND), is
26
On Integrating and solving
Die Area and Cost
• Processor Area:
• To evaluate C, note that when NG = N , then ND = 0; so, C must be ln (N).
• Then the yield is
27
This describes a Poisson distribution of defects. If ρD is the defect
density per unit area, then
For large wafers d >> A, the diameter of the wafer is significantly larger
than the die side and
and
so that
Die Area and Cost
• Processor Area:
• Figure shows the projected
number of good die as a
function of die area for several
defect densities.
• Modern fab facility would have
ρD between 0.15 – 0.5.
• Doubling the die area has a
significant effect on yield.
28
Ideal and Practical Scaling
• As feature sizes shrink and transistors gets smaller, the transistor
density will improve.
• Similarly, transistor delay (or gate delay) should decrease linearly
with feature size.
• Practical scaling is different as wire delay, and wire density does not
scale at the same rate as transistors scale.
• Wire delay remains almost constant as feature sizes shrink.
29
Ideal and Practical Scaling
• Figure illustrates the increasing dominance of wire delay over gate
delay.
30
The dominance of wire
delay over gate delay.
Ideal and Practical Scaling
• Scaling factor of 1.5 is commonly considered more accurate.
• Major technology changes can affect scaling in a discontinuous
manner.
• The simple scaling of a design might only scale as 1.5, but a new
implementation taking advantage of all technology features could
scale at 2.
31
Ideal and Practical Scaling
• Baseline SOC Area Model:
• The key factor to design efficient system is chip floor planning.
• Each functional area of the processor must be allocated sufficient
space for its implementation.
• Functional units that frequently communicate must be placed close
together. Sufficient room must be allocated for connection paths.
• Baseline system can be used to illustrate possible trade - offs in
optimizing the chip floorplan.
• This model is based upon observations made of existing chips and
design experience
32
Ideal and Practical Scaling
• Baseline SOC Area Model:
• Starting Point: The design process
begins with an understanding of the
parameters of the semiconductor
process.
• Suppose we expect to be able to use
a manufacturing process that has a
defect density of 0.2, defect per
square centimeter; for economic
reasons, we target an initial yield of
about 95%:
33
where ρD = 0.2 defect per square centimeter, Y = 0.95. Then
approximately 0.25 cm2
Ideal and Practical Scaling
• Baseline SOC Area Model:
• So the chip area available to us is 25
mm2 .
• This is the total die area of the chip,
• but such things as pads for the wire
bonds that connect the chip to the
external world, drivers for these
connections, and power supply
lines all act to decrease the
amount of chip area available to the
designer.
• Suppose we allow 12% of the chip
area to accommodate these
functions (usually around the periphery
of the chip), then the net area will be
22 mm2
34
Ideal and Practical Scaling
• Baseline SOC Area Model:
• Feature Size: The smaller the feature size, the more logic that can
be accommodated within a fixed area.
• At feature size, f = 65 nm, we have about 5200 A or area units in 22
mm2
• The Architecture: Each system has different objectives.
• For example, assume that we need the following:
– A small 32 - bit core processor with an 8 KB I - cache and a 16 KB D -
cache;
– Two 32 - bit vector processors
– Memory; an 8 KB I - cache and a 16 KB D - cache for scalar data;
– A bus control unit;
– Directly addressed application memory of 128 KB ; and
– A shared L2 cache.
35
Ideal and Practical Scaling
• Baseline SOC Area Model:
• An Area Model: The following is a breakdown of the area required for
various units used in the system.
36
• Latches, Buses, and Interunit Control: For each of the functional
units, there is a certain amount of overhead to accommodate
nonspecific storage (latches), interunit communications (buses), and
interunit control.
• This is allocated as 10% overhead for latches and 40% overhead for
buses, routing, clocking, and overall control.
Ideal and Practical Scaling
• Baseline SOC Area Model:
• Total System Area: The designated processor elements and storage
occupy 2462 A . This leaves a net of 5200 − 2462 = 2738 A available
for cache.
• Cache Area: The net area available for cache is 2738 A .
• However, bits and pieces that may be unoccupied on the chip are not
always useful to the cache designer.
• These pieces must be collected into a reasonably compact area that
accommodates efficient cache designs.
37
Ideal and Practical Scaling
• Baseline SOC Area Model:
• An example baseline floor plan is shown in
figure.
• A summary of area design rules follow:
1. Compute the target chip size from the target
yield and defect density.
2. Compute the die cost and determine whether
it is satisfactory.
3. Compute the net available area. Allow 10 –
20% for pins, guard ring, power supplies, and
so on.
4. Determine the rbe (register bit equivalent)
size from the minimum feature size.
5. Allocate the area based on a trial system
architecture until the basic system size is
determined.
6. Subtract the basic system size (5) from the
net available area (3). This is the die area
available for cache and storage.
38
Power
• Growing demands for wireless and portable electronic appliances
have focused much attention on power consumption.
• The SIA road map points to increasingly higher power for
microprocessor chips because of their higher operating frequency,
higher overall capacitance, and larger size.
• Power scales indirectly with feature size (45 nm, 32nm 22 nm etc).
39
Power
• At the device level, total power dissipation (Ptotal) has two major
sources:
– dynamic or switching power and
– static power caused by leakage current:
40
Where C is the device capacitance;
V is the supply voltage;
freq is the device switching frequency; and
Ileakage is the leakage current.
Gate delays are roughly proportional to CV / (V − Vth )2 , where Vth is the
threshold voltage of the transistors.
Power
• As feature sizes decrease, so do device sizes.
• Smaller device sizes result in reduced capacitance.
• Decreasing the capacitance decreases both the dynamic power
consumption and the gate delays.
• As device sizes decreases, the electric field applied to them becomes
destructively large in quantity.
• To increase the device reliability, we need to reduce the supply
voltage V.
41
Power
• Reducing V effectively reduces the dynamic power consumption but
results in an increase in the gate delays.
• We can avoid this loss by reducing Vth.
• Reducing Vth increases the leakage current and hence, static power
consumption also increases.
• This has an important effect on design and production; there are two
device designs that must be accommodated in production:
1. The high - speed device with low Vth and high static power; and
2. The slower device maintaining Vth and low static power with increase
of circuit density .
42
Reliability
• The important design dimension is reliability, (dependability or fault
tolerance).
• Reliability is related to
– die area,
– clock frequency, and
– power.
• Die area increases the amount of circuitry and the probability of a fault.
• Higher clock frequencies increase electrical noise and noise sensitivity.
43
Reliability
• Faults, if detected, can be masked by
– error - correcting codes (ECCs),
– instruction retry, or
– functional reconfiguration.
• Some definitions:
1. A failure is a deviation from a design specification.
2. An error is a failure that results in an incorrect signal value
3. A fault is an error that manifests itself as an incorrect logical result.
4. A physical fault is a failure caused by the environment, such as aging,
radiation, temperature, or temperature cycling. The probability of
physical faults increases with time.
5. A design fault is a failure caused by a design implementation that is
inconsistent with the design specification.
44
Reliability
• Dealing with Manufacturing Faults:
• The traditional way of dealing with manufacturing faults is through
testing.
• As transistor density increases, the problem of testing increases even
faster.
• The testable combinations increase exponentially with transistor count.
45
Reliability
• Dealing with Manufacturing Faults:
• A technique to give testing access to interior (not accessible from the
instruction set) storage cells is called scan .
• A scan chain in its simplest form consists of a separate entry and exit
point from each storage cell.
• Scan allows predetermined data configurations to be entered into
storage, and the output of particular configurations can be compared
with known correct output configurations.
46
Configurability
47
Temporal Spatial
Single
Processor
ASIC
• Slow
• Flexible
• Fast
• Inflexible
Configurability
?Configurable
Computing
Configurable Computing is some times also called as Reconfigurable Computing
Application
X
X
+
-
FFT Butterfly
2-Stage Filter
X X
+ +
LUT D
LUT DLUT DLUT D
LUT DLUT DLUT D
LUT D LUT D
Coarse-grain Units
- Look Up Tables
- Flip Flops
- Adders, Multipliers, etc.
Multiplexers and Switches
Typical FPGAs
Configurability
48
Configurability
• Reconfigurable Design is used to:
• Reduce the Time: (Execution time)
• Reduce the Area: (Reuse the same area)
• Increase the reliability (Quality should not degrade over the time)
49
50
Thank You…
This presentation is published only for Educational Purpose

Más contenido relacionado

La actualidad más candente

La actualidad más candente (20)

Processors used in System on chip
Processors used in System on chip Processors used in System on chip
Processors used in System on chip
 
Soc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLMSoc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLM
 
FPGA
FPGAFPGA
FPGA
 
Processors selection
Processors selectionProcessors selection
Processors selection
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
System on chip buses
System on chip busesSystem on chip buses
System on chip buses
 
SOC design
SOC design SOC design
SOC design
 
System-on-Chip
System-on-ChipSystem-on-Chip
System-on-Chip
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Asic design
Asic designAsic design
Asic design
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Arm processor
Arm processorArm processor
Arm processor
 
ARM architcture
ARM architcture ARM architcture
ARM architcture
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Unit 1 Introduction to Embedded computing and ARM processor
Unit 1 Introduction to Embedded computing and ARM processorUnit 1 Introduction to Embedded computing and ARM processor
Unit 1 Introduction to Embedded computing and ARM processor
 
System on Chip (SoC)
System on Chip (SoC)System on Chip (SoC)
System on Chip (SoC)
 
CPU Verification
CPU VerificationCPU Verification
CPU Verification
 
FUNDAMENTALS OF COMPUTER DESIGN
FUNDAMENTALS OF COMPUTER DESIGNFUNDAMENTALS OF COMPUTER DESIGN
FUNDAMENTALS OF COMPUTER DESIGN
 
ARM Processors
ARM ProcessorsARM Processors
ARM Processors
 

Destacado

SOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC ToolsSOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC ToolsA B Shinde
 
Geomatics Introduction
Geomatics IntroductionGeomatics Introduction
Geomatics IntroductionKashif Mir
 
SoC based smartphone processors
SoC based smartphone processorsSoC based smartphone processors
SoC based smartphone processorsAnkush Kumar
 
[2017.03.18] hst binary training part 1
[2017.03.18] hst binary training   part 1[2017.03.18] hst binary training   part 1
[2017.03.18] hst binary training part 1Chia-Hao Tsai
 
Pragmatic Optimization in Modern Programming - Mastering Compiler Optimizations
Pragmatic Optimization in Modern Programming - Mastering Compiler OptimizationsPragmatic Optimization in Modern Programming - Mastering Compiler Optimizations
Pragmatic Optimization in Modern Programming - Mastering Compiler OptimizationsMarina Kolpakova
 
Pragmatic Optimization in Modern Programming - Demystifying the Compiler
Pragmatic Optimization in Modern Programming - Demystifying the CompilerPragmatic Optimization in Modern Programming - Demystifying the Compiler
Pragmatic Optimization in Modern Programming - Demystifying the CompilerMarina Kolpakova
 
Hydrogen production by a thermally integrated ATR based fuel processor
Hydrogen production by a thermally integrated ATR based fuel processorHydrogen production by a thermally integrated ATR based fuel processor
Hydrogen production by a thermally integrated ATR based fuel processorAntonio Ricca
 
Pragmatic Optimization in Modern Programming - Ordering Optimization Approaches
Pragmatic Optimization in Modern Programming - Ordering Optimization ApproachesPragmatic Optimization in Modern Programming - Ordering Optimization Approaches
Pragmatic Optimization in Modern Programming - Ordering Optimization ApproachesMarina Kolpakova
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog Ramdas Mozhikunnath
 
Advanced Techniques for Exploiting ILP
Advanced Techniques for Exploiting ILPAdvanced Techniques for Exploiting ILP
Advanced Techniques for Exploiting ILPA B Shinde
 
Semiconductor Hubs for Research & Innovation
Semiconductor Hubs for Research & InnovationSemiconductor Hubs for Research & Innovation
Semiconductor Hubs for Research & InnovationZinnov
 
Pragmatic optimization in modern programming - modern computer architecture c...
Pragmatic optimization in modern programming - modern computer architecture c...Pragmatic optimization in modern programming - modern computer architecture c...
Pragmatic optimization in modern programming - modern computer architecture c...Marina Kolpakova
 
Spartan-II FPGA (xc2s30)
Spartan-II FPGA (xc2s30)Spartan-II FPGA (xc2s30)
Spartan-II FPGA (xc2s30)A B Shinde
 
How to Make Effective Presentation
How to Make Effective PresentationHow to Make Effective Presentation
How to Make Effective PresentationA B Shinde
 
xilinx fpga problems
xilinx fpga problemsxilinx fpga problems
xilinx fpga problemsAnish Gupta
 
53 Intel interview questions and answers pdf
53 Intel interview questions and answers pdf53 Intel interview questions and answers pdf
53 Intel interview questions and answers pdfpetlatas
 

Destacado (20)

SOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC ToolsSOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC Tools
 
Cpdd[1]
Cpdd[1]Cpdd[1]
Cpdd[1]
 
Placement
PlacementPlacement
Placement
 
Geomatics Introduction
Geomatics IntroductionGeomatics Introduction
Geomatics Introduction
 
SoC based smartphone processors
SoC based smartphone processorsSoC based smartphone processors
SoC based smartphone processors
 
[2017.03.18] hst binary training part 1
[2017.03.18] hst binary training   part 1[2017.03.18] hst binary training   part 1
[2017.03.18] hst binary training part 1
 
Pragmatic Optimization in Modern Programming - Mastering Compiler Optimizations
Pragmatic Optimization in Modern Programming - Mastering Compiler OptimizationsPragmatic Optimization in Modern Programming - Mastering Compiler Optimizations
Pragmatic Optimization in Modern Programming - Mastering Compiler Optimizations
 
Pragmatic Optimization in Modern Programming - Demystifying the Compiler
Pragmatic Optimization in Modern Programming - Demystifying the CompilerPragmatic Optimization in Modern Programming - Demystifying the Compiler
Pragmatic Optimization in Modern Programming - Demystifying the Compiler
 
Hydrogen production by a thermally integrated ATR based fuel processor
Hydrogen production by a thermally integrated ATR based fuel processorHydrogen production by a thermally integrated ATR based fuel processor
Hydrogen production by a thermally integrated ATR based fuel processor
 
Pragmatic Optimization in Modern Programming - Ordering Optimization Approaches
Pragmatic Optimization in Modern Programming - Ordering Optimization ApproachesPragmatic Optimization in Modern Programming - Ordering Optimization Approaches
Pragmatic Optimization in Modern Programming - Ordering Optimization Approaches
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
Advanced Techniques for Exploiting ILP
Advanced Techniques for Exploiting ILPAdvanced Techniques for Exploiting ILP
Advanced Techniques for Exploiting ILP
 
Semiconductor Hubs for Research & Innovation
Semiconductor Hubs for Research & InnovationSemiconductor Hubs for Research & Innovation
Semiconductor Hubs for Research & Innovation
 
Pragmatic optimization in modern programming - modern computer architecture c...
Pragmatic optimization in modern programming - modern computer architecture c...Pragmatic optimization in modern programming - modern computer architecture c...
Pragmatic optimization in modern programming - modern computer architecture c...
 
Power
PowerPower
Power
 
Spartan-II FPGA (xc2s30)
Spartan-II FPGA (xc2s30)Spartan-II FPGA (xc2s30)
Spartan-II FPGA (xc2s30)
 
How to Make Effective Presentation
How to Make Effective PresentationHow to Make Effective Presentation
How to Make Effective Presentation
 
xilinx fpga problems
xilinx fpga problemsxilinx fpga problems
xilinx fpga problems
 
Dual-core processor
Dual-core processorDual-core processor
Dual-core processor
 
53 Intel interview questions and answers pdf
53 Intel interview questions and answers pdf53 Intel interview questions and answers pdf
53 Intel interview questions and answers pdf
 

Similar a SOC Chip Basics

Understanding printed board assembly using simulation with design of experime...
Understanding printed board assembly using simulation with design of experime...Understanding printed board assembly using simulation with design of experime...
Understanding printed board assembly using simulation with design of experime...Kiran Hanjar
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routingfreeloadtailieu
 
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyDesign Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyIJEEE
 
8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdfAhmed Abdelazeem
 
Unit 1 Computer organization and Instructions
Unit 1 Computer organization and InstructionsUnit 1 Computer organization and Instructions
Unit 1 Computer organization and InstructionsBalaji Vignesh
 
What’s eating python performance
What’s eating python performanceWhat’s eating python performance
What’s eating python performancePiotr Przymus
 
B tech Projects,Final Year Projects,Engineering Projects
B tech Projects,Final Year Projects,Engineering ProjectsB tech Projects,Final Year Projects,Engineering Projects
B tech Projects,Final Year Projects,Engineering ProjectsTechnogroovy
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEVLSIUNIVERSE
 
Fundamentals.pptx
Fundamentals.pptxFundamentals.pptx
Fundamentals.pptxdhivyak49
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdfFrangoCamila
 
EE 330 Lect 3 Spring 2022.pdf
EE 330 Lect 3 Spring 2022.pdfEE 330 Lect 3 Spring 2022.pdf
EE 330 Lect 3 Spring 2022.pdfPatriciaTutuani1
 
Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1colman mboya
 

Similar a SOC Chip Basics (20)

Understanding printed board assembly using simulation with design of experime...
Understanding printed board assembly using simulation with design of experime...Understanding printed board assembly using simulation with design of experime...
Understanding printed board assembly using simulation with design of experime...
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routing
 
Parallel Algorithms
Parallel AlgorithmsParallel Algorithms
Parallel Algorithms
 
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyDesign Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
 
8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf
 
Unit 1 Computer organization and Instructions
Unit 1 Computer organization and InstructionsUnit 1 Computer organization and Instructions
Unit 1 Computer organization and Instructions
 
Pipelining slides
Pipelining slides Pipelining slides
Pipelining slides
 
Coa.ppt2
Coa.ppt2Coa.ppt2
Coa.ppt2
 
What’s eating python performance
What’s eating python performanceWhat’s eating python performance
What’s eating python performance
 
2014 PV Performance Modeling Workshop: Optimization strategies with Pvsyst fo...
2014 PV Performance Modeling Workshop: Optimization strategies with Pvsyst fo...2014 PV Performance Modeling Workshop: Optimization strategies with Pvsyst fo...
2014 PV Performance Modeling Workshop: Optimization strategies with Pvsyst fo...
 
B tech Projects,Final Year Projects,Engineering Projects
B tech Projects,Final Year Projects,Engineering ProjectsB tech Projects,Final Year Projects,Engineering Projects
B tech Projects,Final Year Projects,Engineering Projects
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 
Fundamentals.pptx
Fundamentals.pptxFundamentals.pptx
Fundamentals.pptx
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
EE 330 Lect 3 Spring 2022.pdf
EE 330 Lect 3 Spring 2022.pdfEE 330 Lect 3 Spring 2022.pdf
EE 330 Lect 3 Spring 2022.pdf
 
Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1
 
Tn6 facility layout
Tn6 facility layoutTn6 facility layout
Tn6 facility layout
 
Tn6 facility+layout
Tn6 facility+layoutTn6 facility+layout
Tn6 facility+layout
 
03 performance
03 performance03 performance
03 performance
 

Más de A B Shinde

Communication System Basics
Communication System BasicsCommunication System Basics
Communication System BasicsA B Shinde
 
MOSFETs: Single Stage IC Amplifier
MOSFETs: Single Stage IC AmplifierMOSFETs: Single Stage IC Amplifier
MOSFETs: Single Stage IC AmplifierA B Shinde
 
Color Image Processing: Basics
Color Image Processing: BasicsColor Image Processing: Basics
Color Image Processing: BasicsA B Shinde
 
Edge Detection and Segmentation
Edge Detection and SegmentationEdge Detection and Segmentation
Edge Detection and SegmentationA B Shinde
 
Image Processing: Spatial filters
Image Processing: Spatial filtersImage Processing: Spatial filters
Image Processing: Spatial filtersA B Shinde
 
Image Enhancement in Spatial Domain
Image Enhancement in Spatial DomainImage Enhancement in Spatial Domain
Image Enhancement in Spatial DomainA B Shinde
 
Digital Image Fundamentals
Digital Image FundamentalsDigital Image Fundamentals
Digital Image FundamentalsA B Shinde
 
Resume Writing
Resume WritingResume Writing
Resume WritingA B Shinde
 
Image Processing Basics
Image Processing BasicsImage Processing Basics
Image Processing BasicsA B Shinde
 
Blooms Taxonomy in Engineering Education
Blooms Taxonomy in Engineering EducationBlooms Taxonomy in Engineering Education
Blooms Taxonomy in Engineering EducationA B Shinde
 
ISE 7.1i Software
ISE 7.1i SoftwareISE 7.1i Software
ISE 7.1i SoftwareA B Shinde
 
VHDL Coding Syntax
VHDL Coding SyntaxVHDL Coding Syntax
VHDL Coding SyntaxA B Shinde
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing TechniquesA B Shinde
 
Selecting Engineering Project
Selecting Engineering ProjectSelecting Engineering Project
Selecting Engineering ProjectA B Shinde
 
Interview Techniques
Interview TechniquesInterview Techniques
Interview TechniquesA B Shinde
 
Semiconductors
SemiconductorsSemiconductors
SemiconductorsA B Shinde
 
Diode Applications & Transistor Basics
Diode Applications & Transistor BasicsDiode Applications & Transistor Basics
Diode Applications & Transistor BasicsA B Shinde
 

Más de A B Shinde (20)

Communication System Basics
Communication System BasicsCommunication System Basics
Communication System Basics
 
MOSFETs: Single Stage IC Amplifier
MOSFETs: Single Stage IC AmplifierMOSFETs: Single Stage IC Amplifier
MOSFETs: Single Stage IC Amplifier
 
MOSFETs
MOSFETsMOSFETs
MOSFETs
 
Color Image Processing: Basics
Color Image Processing: BasicsColor Image Processing: Basics
Color Image Processing: Basics
 
Edge Detection and Segmentation
Edge Detection and SegmentationEdge Detection and Segmentation
Edge Detection and Segmentation
 
Image Processing: Spatial filters
Image Processing: Spatial filtersImage Processing: Spatial filters
Image Processing: Spatial filters
 
Image Enhancement in Spatial Domain
Image Enhancement in Spatial DomainImage Enhancement in Spatial Domain
Image Enhancement in Spatial Domain
 
Resume Format
Resume FormatResume Format
Resume Format
 
Digital Image Fundamentals
Digital Image FundamentalsDigital Image Fundamentals
Digital Image Fundamentals
 
Resume Writing
Resume WritingResume Writing
Resume Writing
 
Image Processing Basics
Image Processing BasicsImage Processing Basics
Image Processing Basics
 
Blooms Taxonomy in Engineering Education
Blooms Taxonomy in Engineering EducationBlooms Taxonomy in Engineering Education
Blooms Taxonomy in Engineering Education
 
ISE 7.1i Software
ISE 7.1i SoftwareISE 7.1i Software
ISE 7.1i Software
 
VHDL Coding Syntax
VHDL Coding SyntaxVHDL Coding Syntax
VHDL Coding Syntax
 
VHDL Programs
VHDL ProgramsVHDL Programs
VHDL Programs
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
Selecting Engineering Project
Selecting Engineering ProjectSelecting Engineering Project
Selecting Engineering Project
 
Interview Techniques
Interview TechniquesInterview Techniques
Interview Techniques
 
Semiconductors
SemiconductorsSemiconductors
Semiconductors
 
Diode Applications & Transistor Basics
Diode Applications & Transistor BasicsDiode Applications & Transistor Basics
Diode Applications & Transistor Basics
 

Último

Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...ranjana rawat
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdfankushspencer015
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTbhaskargani46
 
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELLPVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELLManishPatel169454
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college projectTonystark477637
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfRagavanV2
 
Vivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design SpainVivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design Spaintimesproduction05
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...Call Girls in Nagpur High Profile
 
Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...Christo Ananth
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdfKamal Acharya
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756dollysharma2066
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 

Último (20)

Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELLPVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
 
NFPA 5000 2024 standard .
NFPA 5000 2024 standard                                  .NFPA 5000 2024 standard                                  .
NFPA 5000 2024 standard .
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
Vivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design SpainVivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design Spain
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 

SOC Chip Basics

  • 1. CHIP BASICS TIME, AREA, POWER, RELIABILITY & CONFIGURABILITY Mr. A. B. Shinde Assistant Professor, Electronics Engineering, PVPIT, Budhgaon, Sangli shindesir.pvp@gmail.com
  • 2. Contents… • Introduction, • Cycle Time, • Die Area and Cost, • Ideal and Practical Scaling, • Power, • Area–Time–Power Trade-Offs in Processor Design, • Reliability, • Configurability 2
  • 3. Introduction • The trade-off (balance achieved between two desirables but incompatible features) between cost and performance is fundamental to any system design. • The Semiconductor Industry Association (SIA) regularly makes projections, called the SIA road map, of technology advances. • Advances in lithography, makes the transistors smaller. • The minimum width of the transistor gates is defined by the process technology. 3 Table refers to process technology generations in terms of nanometers; older generations are referred to in terms of microns ( μ m).
  • 4. Design Trade - Offs • In making basic design trade-offs, we have five different considerations. 1. First is Time: Which includes partitioning instructions into events or cycles, basic pipelining mechanisms used in speeding up the instruction execution 2. Second, is Area: The cost or area occupied by a particular feature is another important aspect of the architectural trade-off. 3. Third, Power Consumption: It affects both performance and implementation. Instruction sets that require more implementation area are less valuable than instruction sets that use less area. 4. Fourth, Reliability: Comes into play to cope (deal) with deep submicron effects. 5. Fifth, Configurability: Provides an additional opportunity for designers to trade-off recurring and nonrecurring design costs. 4
  • 5. Design Trade - Offs • In terms of complexity, various trade - offs are possible. • For instance, area can be traded off for performance. • Very large scale integration (VLSI) complexity theory have shown that bound exists for processor designs. • It is also possible to trade-off time T for power P. • Figure shows the possible trade-off involving area, time, and power in a processor design. 5 Processor design trade - offs
  • 6. Requirements and Specifications • The five basic SOC trade - offs provide a framework for analyzing SOC requirements so that these can be translated into specifications. • Cost requirements coupled with market size can be translated into die cost and process technology. • Requirements for wearable and weight put limit bounds on power or energy consumption. • Limitations on clock frequency, can affect heat dissipation. • Any one of the trade - off criteria for a particular design, have the highest priority. 6
  • 7. Requirements and Specifications • Consider some examples: • High - performance systems will optimize time at the expense of cost and power. • Low - cost systems will optimize die cost, reconfigurability, and design reuse. • Wearable systems stress low power (since, the power supply determines the system weight). e.g. cell phones. • Embedded systems in planes and other safety - critical applications would stress reliability, with performance and design lifetime being important secondary considerations. • Gaming systems would stress cost (specially production cost, secondarily, performance). 7
  • 8. Cycle Time • The time receives considerable attention from processor designers. • It is the basic measure of performance; however, breaking actions into cycles and reducing both cycle count and cycle times are important but not preferable. • The way in which actions are partitioned into cycles is important. • A common problem is having unanticipated “extra” cycles required by a basic action such as a cache miss. 8
  • 9. Cycle Time • Defining a Cycle: • A cycle (of the clock) is the basic time unit for processing information. • In a synchronous systems, the clock rate is a fixed value and the cycle time is determined by finding the maximum time to accomplish a frequent operation in the machine, such as an add or register data transfer. • Cycle time must be sufficient for data to be stored into a specified destination register. 9 Possible sequence of actions within a cycle
  • 10. Cycle Time • A cycle begins when the instruction decoder specifies the values for the registers in the system. • These control values connect the output of a specified register to another register or an adder or similar object. • This allows data from source registers to propagate through designated combinatorial logic into the destination register. • Finally, after a suitable setup time, all registers are sampled by an edge or pulse produced by the clocking system. 10
  • 11. Cycle Time • In a synchronous system: • The cycle time is determined by the sum of the worst - case time for each step or action within the cycle. • However, the clock itself may not arrive at the anticipated time (due to propagation or loading effects). • We call the maximum deviation from the expected time of clock arrival the (uncontrolled) clock skew. 11
  • 12. Cycle Time • In an asynchronous system: • The cycle time is simply determined by the completion of an event or operation. • A completion signal is generated, which then allows the next operation to begin. • Asynchronous design is generally not used within pipelined processors because of the pipeline timing constraints. 12
  • 13. Cycle Time • Optimum Pipeline: • At one time, the concept of pipelining in a processor was treated as an advanced processor design technique. • From several decades, pipelining has been an integral part of any processor or controller design. • The trade - off between cycle time and number of pipeline stages is treated in the section on optimum pipeline. 13
  • 14. Cycle Time • Optimum Pipeline: • A basic optimization for the pipeline processor designer is the partitioning of the pipeline into concurrently operating segments. • A large number of segments allow a maximum speedup. However, each new segment carries clocking overhead with it, which can adversely affect performance. • If we ignore the problem of fitting actions into an integer number of cycles, we can derive an optimal cycle time, Δt, and hence the level of segmentation for a simple pipelined processor. 14
  • 15. Cycle Time • (a) Unclocked instruction execution time, T . • (b) T is partitioned into S segments. Each segment requires C clocking overhead. • (c) Clocking overhead and its effect on cycle time, T / S . • (d) Effect of a pipeline disruption (or a stall in the pipeline). 15 Optimal pipelining.
  • 16. Cycle Time • Optimum Pipeline: • Total time required to execute an instruction without pipeline segments is T nanoseconds. • Here, we need to find the optimum number of segments S to allow clocking and pipelining. • The ideal delay through a segment is Tseg. Tseg = T/S = Partitioning overhead is associated with each segment. • This clock overhead time C (nS), includes clock skew, setup & hold times of register. • Now, the actual cycle time (Figure c) of the pipelined processor is the ideal cycle time T / S + overhead: 16
  • 17. Cycle Time • Optimum Pipeline: • In Ideal pipelined processor, there will not be any delays, but certain delays can occur due to unexpected branches. • Suppose, such delays (interruptions) occur with frequency b and have the effect of invalidating the (S − 1) instructions prepared to enter, or already in the pipeline (figure d) • The performance of the processor is: 17
  • 18. Cycle Time • Optimum Pipeline: • The throughput ( G ) can be calculated as 18 If we find the S for which we can find Sopt, the optimum number of pipeline segments
  • 19. Cycle Time • Optimum Pipeline: • The total instruction execution latency ( Tinstr ) is 19 We can compute the throughput performance G in mips. Suppose T = 12.0 ns and b = 0.2, C = 0.5 ns. Then, Sopt = 10 stages. Determining Sopt can serve as: A design starting point or As an important check on an optimized design.
  • 20. Die Area and Cost • Cycle time, machine organization, and memory configuration determine machine performance. • Determining performance is relatively straightforward when compared to the determination of overall cost. • A good design achieves an optimum cost – performance trade - off at a particular target performance. This determines the quality of a processor design. 20
  • 21. Die Area and Cost • Processor Area: • SOCs usually have die sizes of about 10 – 15 mm. • This die is produced in bulk from a larger wafer, 30 cm in diameter. • Unfortunately, neither the silicon wafers nor processing technologies are perfect. • Defects randomly occur over the wafer surface. 21
  • 22. Die Area and Cost • Processor Area: • Large chip areas require an absence of defects over that area. • If chips are too large for a particular processing technology, there will be little or no yield (good chips produced in a manufacturing process). • Figure illustrates yield versus chip area. 22
  • 23. Die Area and Cost • Processor Area: 23 • Example: Find the die yield for dies that are 1.5 cm on a side and 1.0 cm on a side, assuming a defect density of 0.4 per cm 2 and α is 4. • Answer: The total die areas are 2.25 cm 2 and 1.00 cm 2 . For the larger die, the yield is That is, less than half of all the large die are good but more than two- thirds of the small die are good.
  • 24. Die Area and Cost • Processor Area: 24 Number of die (of area A ) on a wafer of diameter d .
  • 25. Die Area and Cost • Processor Area: • Suppose a die with square aspect ratio has area A. About N of these dice can be realized in a wafer of diameter d: 25 • Now suppose there are NG good chips and ND point defects on the wafer. • Even if ND > N , we can expect several good chips since the defects are randomly distributed and several defects would cluster on defective chips, sparing a few goodones.
  • 26. Die Area and Cost • Processor Area: • Suppose we add a random defect to a wafer; (NG / N) is the probability that the defect destruct a good die. • If the defect hits an bad die, it would cause no change to the number of good die. • In other words, the change in the number of good die (NG), with respect to the change in the number of defects (ND), is 26 On Integrating and solving
  • 27. Die Area and Cost • Processor Area: • To evaluate C, note that when NG = N , then ND = 0; so, C must be ln (N). • Then the yield is 27 This describes a Poisson distribution of defects. If ρD is the defect density per unit area, then For large wafers d >> A, the diameter of the wafer is significantly larger than the die side and and so that
  • 28. Die Area and Cost • Processor Area: • Figure shows the projected number of good die as a function of die area for several defect densities. • Modern fab facility would have ρD between 0.15 – 0.5. • Doubling the die area has a significant effect on yield. 28
  • 29. Ideal and Practical Scaling • As feature sizes shrink and transistors gets smaller, the transistor density will improve. • Similarly, transistor delay (or gate delay) should decrease linearly with feature size. • Practical scaling is different as wire delay, and wire density does not scale at the same rate as transistors scale. • Wire delay remains almost constant as feature sizes shrink. 29
  • 30. Ideal and Practical Scaling • Figure illustrates the increasing dominance of wire delay over gate delay. 30 The dominance of wire delay over gate delay.
  • 31. Ideal and Practical Scaling • Scaling factor of 1.5 is commonly considered more accurate. • Major technology changes can affect scaling in a discontinuous manner. • The simple scaling of a design might only scale as 1.5, but a new implementation taking advantage of all technology features could scale at 2. 31
  • 32. Ideal and Practical Scaling • Baseline SOC Area Model: • The key factor to design efficient system is chip floor planning. • Each functional area of the processor must be allocated sufficient space for its implementation. • Functional units that frequently communicate must be placed close together. Sufficient room must be allocated for connection paths. • Baseline system can be used to illustrate possible trade - offs in optimizing the chip floorplan. • This model is based upon observations made of existing chips and design experience 32
  • 33. Ideal and Practical Scaling • Baseline SOC Area Model: • Starting Point: The design process begins with an understanding of the parameters of the semiconductor process. • Suppose we expect to be able to use a manufacturing process that has a defect density of 0.2, defect per square centimeter; for economic reasons, we target an initial yield of about 95%: 33 where ρD = 0.2 defect per square centimeter, Y = 0.95. Then approximately 0.25 cm2
  • 34. Ideal and Practical Scaling • Baseline SOC Area Model: • So the chip area available to us is 25 mm2 . • This is the total die area of the chip, • but such things as pads for the wire bonds that connect the chip to the external world, drivers for these connections, and power supply lines all act to decrease the amount of chip area available to the designer. • Suppose we allow 12% of the chip area to accommodate these functions (usually around the periphery of the chip), then the net area will be 22 mm2 34
  • 35. Ideal and Practical Scaling • Baseline SOC Area Model: • Feature Size: The smaller the feature size, the more logic that can be accommodated within a fixed area. • At feature size, f = 65 nm, we have about 5200 A or area units in 22 mm2 • The Architecture: Each system has different objectives. • For example, assume that we need the following: – A small 32 - bit core processor with an 8 KB I - cache and a 16 KB D - cache; – Two 32 - bit vector processors – Memory; an 8 KB I - cache and a 16 KB D - cache for scalar data; – A bus control unit; – Directly addressed application memory of 128 KB ; and – A shared L2 cache. 35
  • 36. Ideal and Practical Scaling • Baseline SOC Area Model: • An Area Model: The following is a breakdown of the area required for various units used in the system. 36 • Latches, Buses, and Interunit Control: For each of the functional units, there is a certain amount of overhead to accommodate nonspecific storage (latches), interunit communications (buses), and interunit control. • This is allocated as 10% overhead for latches and 40% overhead for buses, routing, clocking, and overall control.
  • 37. Ideal and Practical Scaling • Baseline SOC Area Model: • Total System Area: The designated processor elements and storage occupy 2462 A . This leaves a net of 5200 − 2462 = 2738 A available for cache. • Cache Area: The net area available for cache is 2738 A . • However, bits and pieces that may be unoccupied on the chip are not always useful to the cache designer. • These pieces must be collected into a reasonably compact area that accommodates efficient cache designs. 37
  • 38. Ideal and Practical Scaling • Baseline SOC Area Model: • An example baseline floor plan is shown in figure. • A summary of area design rules follow: 1. Compute the target chip size from the target yield and defect density. 2. Compute the die cost and determine whether it is satisfactory. 3. Compute the net available area. Allow 10 – 20% for pins, guard ring, power supplies, and so on. 4. Determine the rbe (register bit equivalent) size from the minimum feature size. 5. Allocate the area based on a trial system architecture until the basic system size is determined. 6. Subtract the basic system size (5) from the net available area (3). This is the die area available for cache and storage. 38
  • 39. Power • Growing demands for wireless and portable electronic appliances have focused much attention on power consumption. • The SIA road map points to increasingly higher power for microprocessor chips because of their higher operating frequency, higher overall capacitance, and larger size. • Power scales indirectly with feature size (45 nm, 32nm 22 nm etc). 39
  • 40. Power • At the device level, total power dissipation (Ptotal) has two major sources: – dynamic or switching power and – static power caused by leakage current: 40 Where C is the device capacitance; V is the supply voltage; freq is the device switching frequency; and Ileakage is the leakage current. Gate delays are roughly proportional to CV / (V − Vth )2 , where Vth is the threshold voltage of the transistors.
  • 41. Power • As feature sizes decrease, so do device sizes. • Smaller device sizes result in reduced capacitance. • Decreasing the capacitance decreases both the dynamic power consumption and the gate delays. • As device sizes decreases, the electric field applied to them becomes destructively large in quantity. • To increase the device reliability, we need to reduce the supply voltage V. 41
  • 42. Power • Reducing V effectively reduces the dynamic power consumption but results in an increase in the gate delays. • We can avoid this loss by reducing Vth. • Reducing Vth increases the leakage current and hence, static power consumption also increases. • This has an important effect on design and production; there are two device designs that must be accommodated in production: 1. The high - speed device with low Vth and high static power; and 2. The slower device maintaining Vth and low static power with increase of circuit density . 42
  • 43. Reliability • The important design dimension is reliability, (dependability or fault tolerance). • Reliability is related to – die area, – clock frequency, and – power. • Die area increases the amount of circuitry and the probability of a fault. • Higher clock frequencies increase electrical noise and noise sensitivity. 43
  • 44. Reliability • Faults, if detected, can be masked by – error - correcting codes (ECCs), – instruction retry, or – functional reconfiguration. • Some definitions: 1. A failure is a deviation from a design specification. 2. An error is a failure that results in an incorrect signal value 3. A fault is an error that manifests itself as an incorrect logical result. 4. A physical fault is a failure caused by the environment, such as aging, radiation, temperature, or temperature cycling. The probability of physical faults increases with time. 5. A design fault is a failure caused by a design implementation that is inconsistent with the design specification. 44
  • 45. Reliability • Dealing with Manufacturing Faults: • The traditional way of dealing with manufacturing faults is through testing. • As transistor density increases, the problem of testing increases even faster. • The testable combinations increase exponentially with transistor count. 45
  • 46. Reliability • Dealing with Manufacturing Faults: • A technique to give testing access to interior (not accessible from the instruction set) storage cells is called scan . • A scan chain in its simplest form consists of a separate entry and exit point from each storage cell. • Scan allows predetermined data configurations to be entered into storage, and the output of particular configurations can be compared with known correct output configurations. 46
  • 47. Configurability 47 Temporal Spatial Single Processor ASIC • Slow • Flexible • Fast • Inflexible Configurability ?Configurable Computing Configurable Computing is some times also called as Reconfigurable Computing
  • 48. Application X X + - FFT Butterfly 2-Stage Filter X X + + LUT D LUT DLUT DLUT D LUT DLUT DLUT D LUT D LUT D Coarse-grain Units - Look Up Tables - Flip Flops - Adders, Multipliers, etc. Multiplexers and Switches Typical FPGAs Configurability 48
  • 49. Configurability • Reconfigurable Design is used to: • Reduce the Time: (Execution time) • Reduce the Area: (Reuse the same area) • Increase the reliability (Quality should not degrade over the time) 49
  • 50. 50 Thank You… This presentation is published only for Educational Purpose