SlideShare una empresa de Scribd logo
1 de 34
Descargar para leer sin conexión
Low Power
Keeps Getting Hotter
   Barry Pangrle, Ph.D.
    Solutions Architect
Mentor Graphics Corporation



          Israel, May 4, 2010   © 2010 Mentor Graphics Corp.
                                www.mentor.com
The Next 25 Minutes…
• Purpose:
  – Update Information on Power-Efficient Design
• Process:
  – Background
  – Trends Data
  – Q&A
• Outcome:
  – Better Understanding of:
     • Where the Industry is Going
     • How it Affects You
     • How to Best Leverage the Design Process for Your Needs


                           Israel, May 4, 2010     © 2010 Mentor Graphics Corp.   2
                                                   www.mentor.com
Designers Facing More
            Green Requirements



  Created and runs the Energy Star            A global consortium dedicated to
  Program in the U.S. among other             developing and promoting energy
      programs for controlling            efficiency for data centers and business
        environmental impact                       computing ecosystems




                                        Companies displaying The Green Fan logo
Aims to reduce energy consumption in    demonstrate that they are actively making
worldwide ICT networks by a factor of    a positive contribution to reducing CO2
               1000                                     emissions

                                  Israel, May 4, 2010             © 2010 Mentor Graphics Corp.   3
                                                                  www.mentor.com
Power Impacts the Whole System
                                                       HLS
                                                       HLS
              TLM
              TLM                              Architectural Analysis
                                               Architectural Analysis
       Power-Aware Models
       Power-Aware Models
                            System Level                      Verification
                                                              Verification
                               Design                           Power-Aware
                                                                Power-Aware



            PCB                                          Chip
           Design                                       Design


                              Package                                 Test
                                                                      Test
     PCB                                                       Power-Aware
                                                               Power-Aware
     PCB                       Design
 Power Integrity
 Power Integrity
                                                    Place & Route
                                                    Place & Route
                                                Multi-Corner Multi-Mode
                                                Multi-Corner Multi-Mode

“Platform power is as important as core silicon power.”
   — Joe Macri, CTO, AMD

                              Israel, May 4, 2010             © 2010 Mentor Graphics Corp.   4
                                                              www.mentor.com
Low-Power Requirement vs.
               Power Trend
                                                                  Requirement
                                                                            Trend
  3.5

  3.0

  2.5               Static             Dynamic
(W)
  2.0

  1.5

  1.0

  0.5

  0.0
        2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020

                    SOC Consumer Portable Power Trend
        Source: The International Technology Roadmap for Semiconductors (ITRS), 2008 Update

                                            Israel, May 4, 2010                 © 2010 Mentor Graphics Corp.   5
                                                                                www.mentor.com
Low-Power Requirement vs.
              Power Trend
                                                               Requirement
 14.0

 12.0

 10.0
                 Static            Dynamic
  8.0
(W)
  6.0

  4.0

  2.0

  0.0
    2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024

                 SOC Consumer Portable Power Trend
        Source: The International Technology Roadmap for Semiconductors (ITRS), 2009

                                        Israel, May 4, 2010                  © 2010 Mentor Graphics Corp.   6
                                                                             www.mentor.com
Power Driven by Advanced
                                     Technology Adoption
                                            250nm      130nm         90nm         65nm        45nm
% of Total Silicon Demand Share




                                  30%                                                                                DVFS
                                                                   power gating
                                                           multi-Vt
                                  20%
                                         clock gating

                                  10%


                                  0%
                                        ’95 ’96 ’97 ’98 ’99 ’00 ’01 ’02 ’03 ’04 ’05 ’06 ’07 ’08


                                                               Source: VLSI Research, Silicon Demand, July 2008


                                                               Israel, May 4, 2010                   © 2010 Mentor Graphics Corp.   7
                                                                                                     www.mentor.com
Power Driven by Advanced
                                         Technology Adoption
                                       <=130nm        90nm       65nm        45 / 40nm
% of TSMC Total Wafer Revenues




                                                                                      70% of Wafer Revenues
                                 60%



                                 40%

                                                                                          30% of Wafer Revenues
                                 20%
                                                                                          16% of Wafer Revenues
                                                                                          9+% of Wafer Revenues
                                 0%
                                                            7
                                                  6




                                                                                9
                                                                      8
                                  1Q 4
                                       5




                                                                               9
                                                         ’0
                                               ’0




                                                                             ’0
                                                                   ’0
                                    ’0
                                    ’0




                                                                            ’0
                                                       1Q




                                                                          4Q
                                            1Q
                                  3Q




                                                                1Q


                                                                          1Q




                                                                                             Source: TSMC Quarterly Reports


                                                                    Israel, May 4, 2010                © 2010 Mentor Graphics Corp.   8
                                                                                                       www.mentor.com
Power is *NOT* Scaling with
                     Technology
                                                         “In a decade, 11nm process
                                                         technology could deliver
                                                         devices with 16x more
                                                         transistors running 2.4x
                                                         faster than today's parts.
                                                         But those devices will only
                                                         use a 1/3 as much energy as
                                                         today's parts, leaving
                                                         engineers with a power
                                                         budget so pinched they may
                                                         be able to activate only 9%
                                                         of those transistors.”
                                                          –Mike Muller, CTO
http://pc.watch.impress.co.jp/docs/2004/0524/epf01.jpg     ARM
                                                         http://www.eetimes.com/news/semi/showArticle.jhtml?articleID=220900080



                                                         Israel, May 4, 2010                             © 2010 Mentor Graphics Corp.   9
                                                                                                         www.mentor.com
Lower Threshold: Higher Leakage
                                                                                             Low Vt
                                             90 nm Process
                                                                                             High Vt
10000


1000
nW




     100


      10


      1
           0 16 32 48 64 80 96 12 28 44 60 76 92 08 24 40 56 72 88 04 20 36 52 68 84 00 16 32 48 64 80 96 12 28
                              1 1 1 1 1 1 2 2 2 2 2 2 3 3 3 3 3 3 4 4 4 4 4 4 4 5 5
                                                Sorted Cell #
                                          “Managing leakage power at 90 nm and below”
                                                                               below”
                                          Barry Pangrle and Shekhar Kapoor , EEdesign.com, Nov 05, 2004
                                                                             EEdesign.com,


                                                   Israel, May 4, 2010                      © 2010 Mentor Graphics Corp.   10
                                                                                            www.mentor.com
Leakage vs. Delay Tradeoff
           1000
                                                                          90 nm
                                                                      Transistors
               100
Ioff (nA/mm)




                10

                                                                                                      Ioffn
                 1
                                                                                                      Ioffp

               0.1


               0.01

        0.001
             5          10               15               20                25               30
                                   Gate Delay (pS)
                      “Managing leakage power at 90 nm and below” , Pangrle and Kapoor , EEdesign.com, Nov 05, 2004

                                           Israel, May 4, 2010                             © 2010 Mentor Graphics Corp.   11
                                                                                           www.mentor.com
Leakage Exceeds Dynamic Power


                                                           “DC power has
                                                           exceeded AC power
                                                           for the first time.”
                                                             – John Y. Chen
                                                                VP of Technology and Foundry Ops
                                                                NVIDIA



                                                                 http://www.semiconductor.net/article/438968-
http://www.scu.edu/engineering/ee/images/John_Chen_1.jpg
                                                                 Nvidia_s_Chen_Calls_for_Zero_Via_Defects.php



                                                           Israel, May 4, 2010                   © 2010 Mentor Graphics Corp.   12
                                                                                                 www.mentor.com
Body Bias has Diminishing Impact
            0.15
                                                      G
                    130nm
             0.1                                                              210 mV
                                             S                  D
                    90nm
 ∆VTH (V)




            0.05                                                                    95 mV
                                                      B

               0                                                                       55 mV
                    65nm

            -0.05


             -0.1
                -0.5       Reverse      0         Forward            0.5
                                     VBS (V)
                                      “Low Power Design Essentials”, Jan Rabaey, 2009


                                     Israel, May 4, 2010                   © 2010 Mentor Graphics Corp.   13
                                                                           www.mentor.com
When Power Doesn’t Scale …
                      Power Density                        Clock Frequency
1000                           Rocket Nozzle                                                   100000
                   Inflection Point                      Inflection Point                      ~30GHz

                     Nuclear Reactor                                                             10000
   100                                                                       P4D
                                          C2D                           P4
                                  P4                                         C2DCi7
Watts/cm2




                   Pentium® III          Ci7            Pentium® III                             1000
                Pentium® II          P4D




                                                                                                             MHz
                                                                                                             MHz
                Hot Plate                              Pentium® II
        10
                          Pentium® Pro                             Pentium® Pro                  100
                        Pentium®                                 Pentium®
                     i486                                 i486
                  i386                                 i386
            1                                                                                     10
                 1µ 0.5µ 0.25µ 0.13µ 65nm          ’87 ’92
                                               ’97 ’02 ’07 ’10
    “The numbers I would cite would be by 2010: 30GHz, 10billion
    transistors, and 1 tera-instruction per second.”
    --Pat Gelsinger, CTO, Intel        April 9, 2002

                                              Israel, May 4, 2010             © 2010 Mentor Graphics Corp.     14
                                                                              www.mentor.com
Power Efficiency is the Main Goal
                                                             “Achieving very high
                                                             operating frequencies is no
                                                             longer the prime target for
                                                             new microprocessors.
                                                             Instead, the goal has shifted
                                                             to delivering higher
                                                             performance combined with
                                                             lower power. “Power
                                                             efficiency” is the main
                                                             scaling goal for chips used
                                                             both in small hand held
                                                             devices and in large data
  http://www.scu.edu/engineering/ee/images/John_Chen_1.jpg
                                                             centers.”
http://www.intel.com/pressroom/kits/bios/mbohr.htm
                                                               –Mark T. Bohr, Intel Senior Fellow
                                                                  Intel
                                                             http://blogs.intel.com/idf/2010/04/moores_law_after_32nm.php
                                                             Israel, May 4, 2010                      © 2010 Mentor Graphics Corp.   15
                                                                                                      www.mentor.com
Leading Processor Power Budgets
              I/O

           Mem                                            I/O
                     Clock                                      Clock
              MPU1                                Mem MPU2
            Logic                                             Logic




            I/O     Clock                                       Clock

                  ASP1                                    ASP2 Logic
          Mem                                                             Mem
                    Logic                              I/O


  •   Power budgets of leading general purpose (MPU) and
      special purpose (ASP) processors
                         Jan Rabaey, “Low Power Design Essentials”, various references
                                   Israel, May 4, 2010                    © 2010 Mentor Graphics Corp.   16
                                                                          www.mentor.com
Clocks
Power
•   Increases as skew and jitter
    decrease
•   Insertion delays increase,
    longer buffer chains, power
    increases                                         clk
Timing
•   Use skew to improve timing
IR-Drop                                          Power
•   Increases as skew decreases
                                          “0-skew” clk
•   Lower drop-> lower margin->
    lower voltage-> less power
•   Reliability & noise                          Power
•   During test can cause good
    die to fail                           “∆-skew” clk
                                           ∆                ∆
Packaging
•   Ldi/dt, IR drop, thermal
    considerations


                                Israel, May 4, 2010         © 2010 Mentor Graphics Corp.   17
                                                            www.mentor.com
Low-Power Design and Multi-Core
                                         • Cores per die
                                           continues to
                                           increase

    April 2003                           • Each core is a
Single-Core 130nm     April 2005           candidate for its own
                    Dual-Core 90nm         power island

                                         • As cores increase so
                                           do operating modes

                                         • Multi-Core chips are
September 2007        June 2009            impractical w/o low-
Quad-Core 65nm      Hexa-Core 45nm         power design

                          Israel, May 4, 2010       © 2010 Mentor Graphics Corp.   18
                                                    www.mentor.com
Low-Power Design Requires MCMM
  Cell Phone Chip Example




                                          Wally Rhines' DesignCon 2009 Keynote Address:
                                          “Common Wisdom Versus Reality in the
More than 21 mode/corner scenarios        Electronics Industry”, February 3, 2009



                            Israel, May 4, 2010                  © 2010 Mentor Graphics Corp.   19
                                                                 www.mentor.com
Concurrent Power and Timing Closure
     for Multi-Voltage Designs
  Core:        Island1:
  1.2v-1.8v
                Island1:
               0.9v-1.5v
               0.9v-1.5v
               ON/OFF
                ON/OFF

  Island2:
   Island2:
   0.9v-1.5v
   0.9v-1.5v
   1.2v-1.8v
   1.2v-1.8v




  Complexity Grows
  as More Domains
  are Added


                           Israel, May 4, 2010   © 2010 Mentor Graphics Corp.   20
                                                 www.mentor.com
EDA Waves
• Tools and
  methodologies are
  always chasing the
  available capabilities of
  the existing
  technologies




                     Israel, May 4, 2010   © 2010 Mentor Graphics Corp.   21
                                           www.mentor.com
The Next Big Wave
• Increased design
  complexity due to
  the shear number of
  available gates
  demands higher-
  level tools




                   Israel, May 4, 2010   © 2010 Mentor Graphics Corp.   22
                                         www.mentor.com
Why Optimize Power at the
              Architecture?
                     Power Optimization Potential

Architectural

RTL Synthesis

Gate

Layout

                0%     20%        40%              60%   80%                100%
                                                              Source: LSI Logic

       Design and Optimization in the ESL Domain
            has the Biggest Impact on Power

                             Israel, May 4, 2010         © 2010 Mentor Graphics Corp.   23
                                                         www.mentor.com
Evolving Role of Design Phases in
Overall System Power Minimization
     ESL
 (Behavioral)                ESL
                         (Behavioral)                 ESL
    20%                                           (Behavioral)                 ESL
     ESL                    30%
                                                     40%                   (Behavioral)
 (Architectural)                                                              50%
                              ESL
     20%
                        (Architectural)
   RTL 10%                   20%                        ESL
                           RTL 10%               (Architectural)
                                                                                   ESL
                                                       30%
                                                                          (Architectural)
                                                                                  30%
 Physical 50%                                       RTL 10%
                        Physical 40%
                                                                             RTL 10%
                                                  Physical 20%
                                                                           Physical 10%

    2009                     2011                     2013                      2015
     Source: The International Technology Roadmap for Semiconductors (ITRS), 2009: Design


                                       Israel, May 4, 2010                  © 2010 Mentor Graphics Corp.   24
                                                                            www.mentor.com
Low-Power Architectural Exploration
  “I want high-level tools to help me do design exploration.”

                          Power

                             Power tools for architecture exploration
                                    Rapid evaluation of the power and perf
                                    impact of architecture tradeoffs
                                    End-of-flow tools are not sufficient

                             Low-power X
                                   Storage arrays, interconnect, etc…
                                   Custom design for power, not perf
                                   Optimized Vdd, Vt


       Bill Dally         Bill Dally‘s 46th DAC Keynote Address: “The End of Denial
Sr. VP Research, Nvidia   Architecture and the Rise of Throughput Computing”, July 29, 2009


                                  Israel, May 4, 2010                   © 2010 Mentor Graphics Corp.   25
                                                                        www.mentor.com
Architectural Impact on Low-Power
Transmitter              Min. Freq. to              Area           Average
Design                 Achieve Req. Rate           (mm2)            Power
   (IFFT Block)                                                     (mW)
                                                                                                 3.99
Comb ( 48 bfly4s )          1.0 MHz                 4.91              3.99
Piped ( 48 bfly4s )         1.0 MHz                 5.25              4.92
Folded ( 16 bfly4s )        1.0 MHz                 3.97              7.27                      ~8.6x
Folded ( 8 bfly4s )         1.5 MHz                 3.69             10.90
Folded ( 4 bfly4s )         3.0 MHz                 2.45             14.40
Folded ( 2 bfly4s )         6.0 MHz                 1.84             21.10                       34.6
Folded ( 1 bfly4 )         12.0 MHz                 1.52             34.60
   8.6x power variation resulted from architecture tradeoffs
                        Source: “802.11a Transmitter: A case Study in Microarchitectural
                        Exploration”, N. Dave et. al., Proceedings of MEMOCODE 2006


                                     Israel, May 4, 2010                    © 2010 Mentor Graphics Corp.   26
                                                                            www.mentor.com
TSMC Sees the Importance of
                            This Too
                                                                                         ESL/HLS
                                                                              SiP
                                                                   ½ Node
Design Challenges




                                                        DFM AF
                                           Stat Tim’g
                                 DFM
                                Pwr Mgmt

                     Pwr CF
                     SI CF
                    Hier Flow
                    Tim’g CF

                     RF 5.0      RF 6.0     RF 7.0      RF 8.0      RF 9.0   RF 10.0      RF 11.0

                     2004        2005       2006        2007        2008     2009           2010

                                                     Israel, May 4, 2010            © 2010 Mentor Graphics Corp.   27
                                                                                    www.mentor.com
Example: Power Down / Up
              Sequence
                                            Power down
                                            sequence correctly
                                            executed for
                                            isolation, retention &
                                            power

                                            Corruption of
                                            internal nets during
                                            power down


                                            Output values
                                            restored at power up

                                            Outputs remain at
Output values saved                         isolated value
during retention                            during power down


                      Israel, May 4, 2010        © 2010 Mentor Graphics Corp.   28
                                                 www.mentor.com
Low-power Test Considerations
Reduce Power During Test                Test for Low-Power Designs
  Design partitioning                         Power information
   — Test in multiple sessions                 — UPF/P1801
   — Untested cores use low
     power                                    Test in presence of low-
                                              power features
  Low-power ATPG                               — DRC
   — Reduce switching during:                  — ATPG
      – Load
      – Capture                               Test of low-power features
      – Unload                                 —   Power gating control logic
   — Power metrics reporting                   —   Retention cells
   — Constant flow compactor                   —   Isolation cells
   — ATPG gater control                        —   Level shifters




          29
                                 Israel, May 4, 2010            © 2010 Mentor Graphics Corp.   29
                                                                www.mentor.com
Packaging Impacts Power
            • “These days about half
              of the dissipation in
              microprocessors comes
              from communication
              with external memory
              chips. If these chips are
              stacked together in 3D,
              communication energy
              cost might drop to a
              tenth.”
                   –    Bernard Meyerson, CTO of the
                        Systems & Technology Group,
                        IBM

         http://techon.nikkeibp.co.jp/article/HONSHI/20090527/170863/
         Israel, May 4, 2010                       © 2010 Mentor Graphics Corp.   30
                                                   www.mentor.com
Power Issues Extend to the Board
•   ICs have a power problem
    Trends:
     – Lower & Multiple voltages/IC
     – Higher currents
     – Lower voltage supply
       tolerances
•   PCB power distribution
    networks are more complex
     – Multiple PDNs on single PCB
     – Requires “jigsaw” of split
       power / ground planes
     – Over-conservative design
       increases cost




                                 Israel, May 4, 2010   © 2010 Mentor Graphics Corp.   31
                                                       www.mentor.com
Low-Power Flow Solution
        Design                                      Verification
    TLM-Based
    TLM-Based                                        RTL & Gates
                                                     RTL & Gates
      ESL
       ESL
                                                        Power-Aware




                              IEEE Std 1801™-2009
                                                        Power-Aware




                              IEEE Std 1801™-2009
  Power-Aware Models
  Power-Aware Models

          HLS
          HLS                                       Formal Checks
                                                    Formal Checks
      Architectural
      Architectural
   Trade-Off Analysis                               Power Rule Checking
                                                    Power Rule Checking
   Trade-Off Analysis

          Test
          Test                                      Clock Crossings
                                                    Clock Crossings
    Power-Aware Test
    Power-Aware Test
                                                     Multiple Domain issues
                                                     Multiple Domain Issues
                                                                      Issues
                                                                      issues
    Low-Power Test
     Low-Power Test

  Place & Route                                        LVS // DRC
                                                       LVS DRC
  Place & Route
                                                       Layout-Level
                                                       Layout-Level
   Multi-Corner Multi-Mode
   Multi-Corner Multi-
   Multi-       Multi-Mode                          Power-Aware Checks
                                                    Power-Aware Checks



                         Israel, May 4, 2010                               © 2010 Mentor Graphics Corp.   32
                                                                           www.mentor.com
Summary
• Rescued by New Process Technology?

  – Not Likely Soon
  – Probably Will Get Worse Before it Gets Better
• Standardized Formats
  – Significant Aid to Design & Verification Flows
• Power is a System-Wide Optimization
• Biggest Bang for the Buck is in Front-End
  Design

                      Israel, May 4, 2010   © 2010 Mentor Graphics Corp.   33
                                            www.mentor.com
Thank You!




   Israel, May 4, 2010   © 2010 Mentor Graphics Corp.
                         www.mentor.com

Más contenido relacionado

La actualidad más candente

Enabling the Borderless Organization
Enabling the Borderless OrganizationEnabling the Borderless Organization
Enabling the Borderless OrganizationCisco Canada
 
Cellution presentation july 2012
Cellution presentation july 2012Cellution presentation july 2012
Cellution presentation july 2012chuckserapilio
 
EMC Saudi channel presentation
EMC Saudi channel presentationEMC Saudi channel presentation
EMC Saudi channel presentationadityapuri
 
CISCO Flexible Work / Telework Presentation for RDASI
CISCO Flexible Work / Telework Presentation for RDASICISCO Flexible Work / Telework Presentation for RDASI
CISCO Flexible Work / Telework Presentation for RDASIRichard Everson
 
Sujal and scott fina lb
Sujal and scott fina lbSujal and scott fina lb
Sujal and scott fina lbTina Jiang
 
SystemCenter webinar 12 6 12
SystemCenter webinar 12 6 12SystemCenter webinar 12 6 12
SystemCenter webinar 12 6 12Sentri
 
Juniper Networks IR Investor and Analyst Update - Mobile World Congress 2012
Juniper Networks IR Investor and Analyst Update - Mobile World Congress 2012Juniper Networks IR Investor and Analyst Update - Mobile World Congress 2012
Juniper Networks IR Investor and Analyst Update - Mobile World Congress 2012Juniper Networks
 
Transform Microsoft Application Environment With EMC Information Infrastructure
Transform Microsoft Application Environment With EMC Information InfrastructureTransform Microsoft Application Environment With EMC Information Infrastructure
Transform Microsoft Application Environment With EMC Information InfrastructureEMC Forum India
 
Mobile Monday DUS Mobile Cloud for Enterprise-final-print
Mobile Monday DUS Mobile Cloud for Enterprise-final-printMobile Monday DUS Mobile Cloud for Enterprise-final-print
Mobile Monday DUS Mobile Cloud for Enterprise-final-printPeter Decker
 
Монетизания: Достижение прибыльности мобильного Интернета нового поколения.
 Монетизания: Достижение прибыльности мобильного Интернета нового поколения. Монетизания: Достижение прибыльности мобильного Интернета нового поколения.
Монетизания: Достижение прибыльности мобильного Интернета нового поколения.Cisco Russia
 
Intelligent Buildings Workplace Tech10 Final
Intelligent Buildings Workplace Tech10 FinalIntelligent Buildings Workplace Tech10 Final
Intelligent Buildings Workplace Tech10 Finalrichpower
 
Mikael Bäck: The Continued Evolution of Mobile Broadband
Mikael Bäck: The Continued Evolution of Mobile BroadbandMikael Bäck: The Continued Evolution of Mobile Broadband
Mikael Bäck: The Continued Evolution of Mobile BroadbandMobileMonday Estonia
 
PRIVATE, HYBRID AND PUBLIC CLOUDS (EMCWorld 2011)
PRIVATE, HYBRID AND PUBLIC CLOUDS (EMCWorld 2011)PRIVATE, HYBRID AND PUBLIC CLOUDS (EMCWorld 2011)
PRIVATE, HYBRID AND PUBLIC CLOUDS (EMCWorld 2011)EMC
 

La actualidad más candente (17)

Enabling the Borderless Organization
Enabling the Borderless OrganizationEnabling the Borderless Organization
Enabling the Borderless Organization
 
Cellution presentation july 2012
Cellution presentation july 2012Cellution presentation july 2012
Cellution presentation july 2012
 
EMC Saudi channel presentation
EMC Saudi channel presentationEMC Saudi channel presentation
EMC Saudi channel presentation
 
101 cd 1630-1700
101 cd 1630-1700101 cd 1630-1700
101 cd 1630-1700
 
CISCO Flexible Work / Telework Presentation for RDASI
CISCO Flexible Work / Telework Presentation for RDASICISCO Flexible Work / Telework Presentation for RDASI
CISCO Flexible Work / Telework Presentation for RDASI
 
Emc keynote 1030 1130
Emc keynote 1030 1130Emc keynote 1030 1130
Emc keynote 1030 1130
 
Sujal and scott fina lb
Sujal and scott fina lbSujal and scott fina lb
Sujal and scott fina lb
 
Cisco Smart Work Center
Cisco Smart Work CenterCisco Smart Work Center
Cisco Smart Work Center
 
Enterprise Applications in 2011
Enterprise Applications in 2011Enterprise Applications in 2011
Enterprise Applications in 2011
 
SystemCenter webinar 12 6 12
SystemCenter webinar 12 6 12SystemCenter webinar 12 6 12
SystemCenter webinar 12 6 12
 
Juniper Networks IR Investor and Analyst Update - Mobile World Congress 2012
Juniper Networks IR Investor and Analyst Update - Mobile World Congress 2012Juniper Networks IR Investor and Analyst Update - Mobile World Congress 2012
Juniper Networks IR Investor and Analyst Update - Mobile World Congress 2012
 
Transform Microsoft Application Environment With EMC Information Infrastructure
Transform Microsoft Application Environment With EMC Information InfrastructureTransform Microsoft Application Environment With EMC Information Infrastructure
Transform Microsoft Application Environment With EMC Information Infrastructure
 
Mobile Monday DUS Mobile Cloud for Enterprise-final-print
Mobile Monday DUS Mobile Cloud for Enterprise-final-printMobile Monday DUS Mobile Cloud for Enterprise-final-print
Mobile Monday DUS Mobile Cloud for Enterprise-final-print
 
Монетизания: Достижение прибыльности мобильного Интернета нового поколения.
 Монетизания: Достижение прибыльности мобильного Интернета нового поколения. Монетизания: Достижение прибыльности мобильного Интернета нового поколения.
Монетизания: Достижение прибыльности мобильного Интернета нового поколения.
 
Intelligent Buildings Workplace Tech10 Final
Intelligent Buildings Workplace Tech10 FinalIntelligent Buildings Workplace Tech10 Final
Intelligent Buildings Workplace Tech10 Final
 
Mikael Bäck: The Continued Evolution of Mobile Broadband
Mikael Bäck: The Continued Evolution of Mobile BroadbandMikael Bäck: The Continued Evolution of Mobile Broadband
Mikael Bäck: The Continued Evolution of Mobile Broadband
 
PRIVATE, HYBRID AND PUBLIC CLOUDS (EMCWorld 2011)
PRIVATE, HYBRID AND PUBLIC CLOUDS (EMCWorld 2011)PRIVATE, HYBRID AND PUBLIC CLOUDS (EMCWorld 2011)
PRIVATE, HYBRID AND PUBLIC CLOUDS (EMCWorld 2011)
 

Destacado

The Complete Hardware Crash Course
The Complete Hardware Crash CourseThe Complete Hardware Crash Course
The Complete Hardware Crash CourseDenis Bohm
 
RFCONNEXT\’s HIGH SPEED INTERCONNECT TECHNOLOGIES AND APPLICATIONS
RFCONNEXT\’s  HIGH SPEED INTERCONNECT TECHNOLOGIES AND APPLICATIONSRFCONNEXT\’s  HIGH SPEED INTERCONNECT TECHNOLOGIES AND APPLICATIONS
RFCONNEXT\’s HIGH SPEED INTERCONNECT TECHNOLOGIES AND APPLICATIONSrfconnex
 
Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...
Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...
Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...Achintya Kumar
 
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...Zuken
 
X-Ray Inspection and Applications
X-Ray Inspection and ApplicationsX-Ray Inspection and Applications
X-Ray Inspection and ApplicationsBill Cardoso
 
Mentor Graphic Lean NPI Solution 2014
Mentor Graphic Lean NPI Solution 2014Mentor Graphic Lean NPI Solution 2014
Mentor Graphic Lean NPI Solution 2014LNSResearch
 
Radiation Damage on Electronic Components
Radiation Damage on Electronic ComponentsRadiation Damage on Electronic Components
Radiation Damage on Electronic ComponentsBill Cardoso
 
Global pcb industry report, 2015 2020
Global pcb industry report, 2015 2020Global pcb industry report, 2015 2020
Global pcb industry report, 2015 2020ResearchInChina
 
PCB designing and tools
PCB designing and toolsPCB designing and tools
PCB designing and toolsAkash Jadhav
 
Statistical Process Control for SMT Electronic Manufacturing
Statistical Process Control for SMT Electronic ManufacturingStatistical Process Control for SMT Electronic Manufacturing
Statistical Process Control for SMT Electronic ManufacturingBill Cardoso
 
LED, BGA, and QFN assembly and inspection case studies
LED, BGA, and QFN assembly and inspection case studiesLED, BGA, and QFN assembly and inspection case studies
LED, BGA, and QFN assembly and inspection case studiesBill Cardoso
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clockMantra VLSI
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design pptAnil Yadav
 

Destacado (16)

Vsync track c
Vsync   track cVsync   track c
Vsync track c
 
The Complete Hardware Crash Course
The Complete Hardware Crash CourseThe Complete Hardware Crash Course
The Complete Hardware Crash Course
 
RFCONNEXT\’s HIGH SPEED INTERCONNECT TECHNOLOGIES AND APPLICATIONS
RFCONNEXT\’s  HIGH SPEED INTERCONNECT TECHNOLOGIES AND APPLICATIONSRFCONNEXT\’s  HIGH SPEED INTERCONNECT TECHNOLOGIES AND APPLICATIONS
RFCONNEXT\’s HIGH SPEED INTERCONNECT TECHNOLOGIES AND APPLICATIONS
 
Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...
Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...
Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...
 
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
 
X-Ray Inspection and Applications
X-Ray Inspection and ApplicationsX-Ray Inspection and Applications
X-Ray Inspection and Applications
 
Mentor Graphic Lean NPI Solution 2014
Mentor Graphic Lean NPI Solution 2014Mentor Graphic Lean NPI Solution 2014
Mentor Graphic Lean NPI Solution 2014
 
Radiation Damage on Electronic Components
Radiation Damage on Electronic ComponentsRadiation Damage on Electronic Components
Radiation Damage on Electronic Components
 
Global pcb industry report, 2015 2020
Global pcb industry report, 2015 2020Global pcb industry report, 2015 2020
Global pcb industry report, 2015 2020
 
PCB designing and tools
PCB designing and toolsPCB designing and tools
PCB designing and tools
 
Statistical Process Control for SMT Electronic Manufacturing
Statistical Process Control for SMT Electronic ManufacturingStatistical Process Control for SMT Electronic Manufacturing
Statistical Process Control for SMT Electronic Manufacturing
 
LED, BGA, and QFN assembly and inspection case studies
LED, BGA, and QFN assembly and inspection case studiesLED, BGA, and QFN assembly and inspection case studies
LED, BGA, and QFN assembly and inspection case studies
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clock
 
Counters
CountersCounters
Counters
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 

Similar a Bary pangrle mentor track d

The Rise of Big Data and On-Demand IT
The Rise of Big Data and On-Demand ITThe Rise of Big Data and On-Demand IT
The Rise of Big Data and On-Demand ITInnoTech
 
Cloud Computing at Cisco
Cloud Computing at CiscoCloud Computing at Cisco
Cloud Computing at CiscoCisco Canada
 
Driving Towards Cloud 2015: A Technology Vision to Meet the Demands of Cloud ...
Driving Towards Cloud 2015: A Technology Vision to Meet the Demands of Cloud ...Driving Towards Cloud 2015: A Technology Vision to Meet the Demands of Cloud ...
Driving Towards Cloud 2015: A Technology Vision to Meet the Demands of Cloud ...Intel IT Center
 
Accenture - Bubble over Barcelona 2013 MWC - Mobility Trends
Accenture  - Bubble over Barcelona 2013 MWC - Mobility TrendsAccenture  - Bubble over Barcelona 2013 MWC - Mobility Trends
Accenture - Bubble over Barcelona 2013 MWC - Mobility TrendsLars Kamp
 
Indoor multi operator solutions - Network sharing and Outsourcing
Indoor multi operator solutions - Network sharing and OutsourcingIndoor multi operator solutions - Network sharing and Outsourcing
Indoor multi operator solutions - Network sharing and OutsourcingAmirhossein Ghanbari
 
The DevOps PaaS Infusion - May meetup
The DevOps PaaS Infusion - May meetupThe DevOps PaaS Infusion - May meetup
The DevOps PaaS Infusion - May meetupNorm Leitman
 
Cloudify summit2012 pub
Cloudify summit2012 pubCloudify summit2012 pub
Cloudify summit2012 pubGary Berger
 
Partnership for the Private Cloud
Partnership for the Private CloudPartnership for the Private Cloud
Partnership for the Private CloudCisco Canada
 
GE Smallworld Overview September2010
GE Smallworld Overview September2010GE Smallworld Overview September2010
GE Smallworld Overview September2010cwilson5496
 
FCM Sustainable Communities, Panel February 9 2012
FCM Sustainable Communities, Panel February 9 2012FCM Sustainable Communities, Panel February 9 2012
FCM Sustainable Communities, Panel February 9 2012Rick Huijbregts
 
Juniper "New Network" Launch Press Conference
Juniper "New Network" Launch Press ConferenceJuniper "New Network" Launch Press Conference
Juniper "New Network" Launch Press ConferenceJuniper Networks
 
Davidreinselppt 110304164919-phpapp01
Davidreinselppt 110304164919-phpapp01Davidreinselppt 110304164919-phpapp01
Davidreinselppt 110304164919-phpapp01MassTLC
 
The Live/Synthetic Balance: Operational and Policy Perspectives
The Live/Synthetic Balance: Operational and Policy PerspectivesThe Live/Synthetic Balance: Operational and Policy Perspectives
The Live/Synthetic Balance: Operational and Policy PerspectivesAndy Fawkes
 
EMC Cloud Management
EMC Cloud ManagementEMC Cloud Management
EMC Cloud ManagementCenk Ersoy
 
Dac 2010 Hiper Dev Gen Presentation
Dac 2010 Hiper Dev Gen PresentationDac 2010 Hiper Dev Gen Presentation
Dac 2010 Hiper Dev Gen Presentationfbrosnan
 
Cloud computing - co daje firmie?
Cloud computing - co daje firmie? Cloud computing - co daje firmie?
Cloud computing - co daje firmie? Biznes to Rozmowy
 
Ot Tech Site Ahlgren
Ot Tech Site AhlgrenOt Tech Site Ahlgren
Ot Tech Site AhlgrenDeb Ahlgren
 
SIOS Private Cloud
SIOS Private CloudSIOS Private Cloud
SIOS Private CloudJim Kaskade
 

Similar a Bary pangrle mentor track d (20)

The Rise of Big Data and On-Demand IT
The Rise of Big Data and On-Demand ITThe Rise of Big Data and On-Demand IT
The Rise of Big Data and On-Demand IT
 
Cloud Computing at Cisco
Cloud Computing at CiscoCloud Computing at Cisco
Cloud Computing at Cisco
 
Driving Towards Cloud 2015: A Technology Vision to Meet the Demands of Cloud ...
Driving Towards Cloud 2015: A Technology Vision to Meet the Demands of Cloud ...Driving Towards Cloud 2015: A Technology Vision to Meet the Demands of Cloud ...
Driving Towards Cloud 2015: A Technology Vision to Meet the Demands of Cloud ...
 
Accenture - Bubble over Barcelona 2013 MWC - Mobility Trends
Accenture  - Bubble over Barcelona 2013 MWC - Mobility TrendsAccenture  - Bubble over Barcelona 2013 MWC - Mobility Trends
Accenture - Bubble over Barcelona 2013 MWC - Mobility Trends
 
David Linger - Open Innovation
David Linger - Open InnovationDavid Linger - Open Innovation
David Linger - Open Innovation
 
Indoor multi operator solutions - Network sharing and Outsourcing
Indoor multi operator solutions - Network sharing and OutsourcingIndoor multi operator solutions - Network sharing and Outsourcing
Indoor multi operator solutions - Network sharing and Outsourcing
 
The DevOps PaaS Infusion - May meetup
The DevOps PaaS Infusion - May meetupThe DevOps PaaS Infusion - May meetup
The DevOps PaaS Infusion - May meetup
 
Cloudify summit2012 pub
Cloudify summit2012 pubCloudify summit2012 pub
Cloudify summit2012 pub
 
Partnership for the Private Cloud
Partnership for the Private CloudPartnership for the Private Cloud
Partnership for the Private Cloud
 
GE Smallworld Overview September2010
GE Smallworld Overview September2010GE Smallworld Overview September2010
GE Smallworld Overview September2010
 
FCM Sustainable Communities, Panel February 9 2012
FCM Sustainable Communities, Panel February 9 2012FCM Sustainable Communities, Panel February 9 2012
FCM Sustainable Communities, Panel February 9 2012
 
Juniper "New Network" Launch Press Conference
Juniper "New Network" Launch Press ConferenceJuniper "New Network" Launch Press Conference
Juniper "New Network" Launch Press Conference
 
Davidreinselppt 110304164919-phpapp01
Davidreinselppt 110304164919-phpapp01Davidreinselppt 110304164919-phpapp01
Davidreinselppt 110304164919-phpapp01
 
The Live/Synthetic Balance: Operational and Policy Perspectives
The Live/Synthetic Balance: Operational and Policy PerspectivesThe Live/Synthetic Balance: Operational and Policy Perspectives
The Live/Synthetic Balance: Operational and Policy Perspectives
 
EMC Cloud Management
EMC Cloud ManagementEMC Cloud Management
EMC Cloud Management
 
Lte asia 2011 s niri
Lte asia 2011 s niriLte asia 2011 s niri
Lte asia 2011 s niri
 
Dac 2010 Hiper Dev Gen Presentation
Dac 2010 Hiper Dev Gen PresentationDac 2010 Hiper Dev Gen Presentation
Dac 2010 Hiper Dev Gen Presentation
 
Cloud computing - co daje firmie?
Cloud computing - co daje firmie? Cloud computing - co daje firmie?
Cloud computing - co daje firmie?
 
Ot Tech Site Ahlgren
Ot Tech Site AhlgrenOt Tech Site Ahlgren
Ot Tech Site Ahlgren
 
SIOS Private Cloud
SIOS Private CloudSIOS Private Cloud
SIOS Private Cloud
 

Más de Alona Gradman

C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updatedAlona Gradman
 
Apache track d updated
Apache   track d updatedApache   track d updated
Apache track d updatedAlona Gradman
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track eAlona Gradman
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track fAlona Gradman
 
Mullbery& veriest track g
Mullbery& veriest  track gMullbery& veriest  track g
Mullbery& veriest track gAlona Gradman
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track fAlona Gradman
 
C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track cAlona Gradman
 
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisTiming¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisAlona Gradman
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensAlona Gradman
 

Más de Alona Gradman (18)

C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updated
 
Apache track d updated
Apache   track d updatedApache   track d updated
Apache track d updated
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track e
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track f
 
Mullbery& veriest track g
Mullbery& veriest  track gMullbery& veriest  track g
Mullbery& veriest track g
 
Xilinx track g
Xilinx   track gXilinx   track g
Xilinx track g
 
Altera trcak g
Altera  trcak gAltera  trcak g
Altera trcak g
 
Arm updated track h
Arm updated  track hArm updated  track h
Arm updated track h
 
Evatronix track h
Evatronix   track hEvatronix   track h
Evatronix track h
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track f
 
C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track c
 
Synopsys track c
Synopsys track cSynopsys track c
Synopsys track c
 
Intel track a
Intel   track aIntel   track a
Intel track a
 
Mips track a
Mips   track aMips   track a
Mips track a
 
E silicon track b
E silicon  track bE silicon  track b
E silicon track b
 
Magma trcak b
Magma  trcak bMagma  trcak b
Magma trcak b
 
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisTiming¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert Goossens
 

Último

Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.pptRamjanShidvankar
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and ModificationsMJDuyan
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin ClassesCeline George
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...Poonam Aher Patil
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentationcamerronhm
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdfQucHHunhnh
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxJisc
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSCeline George
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxAreebaZafar22
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdfQucHHunhnh
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Association for Project Management
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxEsquimalt MFRC
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...pradhanghanshyam7136
 
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...christianmathematics
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsMebane Rash
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfNirmal Dwivedi
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...Nguyen Thanh Tu Collection
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfSherif Taha
 

Último (20)

Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.ppt
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentation
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
Spatium Project Simulation student brief
Spatium Project Simulation student briefSpatium Project Simulation student brief
Spatium Project Simulation student brief
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdf
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
 
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 

Bary pangrle mentor track d

  • 1. Low Power Keeps Getting Hotter Barry Pangrle, Ph.D. Solutions Architect Mentor Graphics Corporation Israel, May 4, 2010 © 2010 Mentor Graphics Corp. www.mentor.com
  • 2. The Next 25 Minutes… • Purpose: – Update Information on Power-Efficient Design • Process: – Background – Trends Data – Q&A • Outcome: – Better Understanding of: • Where the Industry is Going • How it Affects You • How to Best Leverage the Design Process for Your Needs Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 2 www.mentor.com
  • 3. Designers Facing More Green Requirements Created and runs the Energy Star A global consortium dedicated to Program in the U.S. among other developing and promoting energy programs for controlling efficiency for data centers and business environmental impact computing ecosystems Companies displaying The Green Fan logo Aims to reduce energy consumption in demonstrate that they are actively making worldwide ICT networks by a factor of a positive contribution to reducing CO2 1000 emissions Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 3 www.mentor.com
  • 4. Power Impacts the Whole System HLS HLS TLM TLM Architectural Analysis Architectural Analysis Power-Aware Models Power-Aware Models System Level Verification Verification Design Power-Aware Power-Aware PCB Chip Design Design Package Test Test PCB Power-Aware Power-Aware PCB Design Power Integrity Power Integrity Place & Route Place & Route Multi-Corner Multi-Mode Multi-Corner Multi-Mode “Platform power is as important as core silicon power.” — Joe Macri, CTO, AMD Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 4 www.mentor.com
  • 5. Low-Power Requirement vs. Power Trend Requirement Trend 3.5 3.0 2.5 Static Dynamic (W) 2.0 1.5 1.0 0.5 0.0 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 SOC Consumer Portable Power Trend Source: The International Technology Roadmap for Semiconductors (ITRS), 2008 Update Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 5 www.mentor.com
  • 6. Low-Power Requirement vs. Power Trend Requirement 14.0 12.0 10.0 Static Dynamic 8.0 (W) 6.0 4.0 2.0 0.0 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 SOC Consumer Portable Power Trend Source: The International Technology Roadmap for Semiconductors (ITRS), 2009 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 6 www.mentor.com
  • 7. Power Driven by Advanced Technology Adoption 250nm 130nm 90nm 65nm 45nm % of Total Silicon Demand Share 30% DVFS power gating multi-Vt 20% clock gating 10% 0% ’95 ’96 ’97 ’98 ’99 ’00 ’01 ’02 ’03 ’04 ’05 ’06 ’07 ’08 Source: VLSI Research, Silicon Demand, July 2008 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 7 www.mentor.com
  • 8. Power Driven by Advanced Technology Adoption <=130nm 90nm 65nm 45 / 40nm % of TSMC Total Wafer Revenues 70% of Wafer Revenues 60% 40% 30% of Wafer Revenues 20% 16% of Wafer Revenues 9+% of Wafer Revenues 0% 7 6 9 8 1Q 4 5 9 ’0 ’0 ’0 ’0 ’0 ’0 ’0 1Q 4Q 1Q 3Q 1Q 1Q Source: TSMC Quarterly Reports Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 8 www.mentor.com
  • 9. Power is *NOT* Scaling with Technology “In a decade, 11nm process technology could deliver devices with 16x more transistors running 2.4x faster than today's parts. But those devices will only use a 1/3 as much energy as today's parts, leaving engineers with a power budget so pinched they may be able to activate only 9% of those transistors.” –Mike Muller, CTO http://pc.watch.impress.co.jp/docs/2004/0524/epf01.jpg ARM http://www.eetimes.com/news/semi/showArticle.jhtml?articleID=220900080 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 9 www.mentor.com
  • 10. Lower Threshold: Higher Leakage Low Vt 90 nm Process High Vt 10000 1000 nW 100 10 1 0 16 32 48 64 80 96 12 28 44 60 76 92 08 24 40 56 72 88 04 20 36 52 68 84 00 16 32 48 64 80 96 12 28 1 1 1 1 1 1 2 2 2 2 2 2 3 3 3 3 3 3 4 4 4 4 4 4 4 5 5 Sorted Cell # “Managing leakage power at 90 nm and below” below” Barry Pangrle and Shekhar Kapoor , EEdesign.com, Nov 05, 2004 EEdesign.com, Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 10 www.mentor.com
  • 11. Leakage vs. Delay Tradeoff 1000 90 nm Transistors 100 Ioff (nA/mm) 10 Ioffn 1 Ioffp 0.1 0.01 0.001 5 10 15 20 25 30 Gate Delay (pS) “Managing leakage power at 90 nm and below” , Pangrle and Kapoor , EEdesign.com, Nov 05, 2004 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 11 www.mentor.com
  • 12. Leakage Exceeds Dynamic Power “DC power has exceeded AC power for the first time.” – John Y. Chen VP of Technology and Foundry Ops NVIDIA http://www.semiconductor.net/article/438968- http://www.scu.edu/engineering/ee/images/John_Chen_1.jpg Nvidia_s_Chen_Calls_for_Zero_Via_Defects.php Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 12 www.mentor.com
  • 13. Body Bias has Diminishing Impact 0.15 G 130nm 0.1 210 mV S D 90nm ∆VTH (V) 0.05 95 mV B 0 55 mV 65nm -0.05 -0.1 -0.5 Reverse 0 Forward 0.5 VBS (V) “Low Power Design Essentials”, Jan Rabaey, 2009 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 13 www.mentor.com
  • 14. When Power Doesn’t Scale … Power Density Clock Frequency 1000 Rocket Nozzle 100000 Inflection Point Inflection Point ~30GHz Nuclear Reactor 10000 100 P4D C2D P4 P4 C2DCi7 Watts/cm2 Pentium® III Ci7 Pentium® III 1000 Pentium® II P4D MHz MHz Hot Plate Pentium® II 10 Pentium® Pro Pentium® Pro 100 Pentium® Pentium® i486 i486 i386 i386 1 10 1µ 0.5µ 0.25µ 0.13µ 65nm ’87 ’92 ’97 ’02 ’07 ’10 “The numbers I would cite would be by 2010: 30GHz, 10billion transistors, and 1 tera-instruction per second.” --Pat Gelsinger, CTO, Intel April 9, 2002 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 14 www.mentor.com
  • 15. Power Efficiency is the Main Goal “Achieving very high operating frequencies is no longer the prime target for new microprocessors. Instead, the goal has shifted to delivering higher performance combined with lower power. “Power efficiency” is the main scaling goal for chips used both in small hand held devices and in large data http://www.scu.edu/engineering/ee/images/John_Chen_1.jpg centers.” http://www.intel.com/pressroom/kits/bios/mbohr.htm –Mark T. Bohr, Intel Senior Fellow Intel http://blogs.intel.com/idf/2010/04/moores_law_after_32nm.php Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 15 www.mentor.com
  • 16. Leading Processor Power Budgets I/O Mem I/O Clock Clock MPU1 Mem MPU2 Logic Logic I/O Clock Clock ASP1 ASP2 Logic Mem Mem Logic I/O • Power budgets of leading general purpose (MPU) and special purpose (ASP) processors Jan Rabaey, “Low Power Design Essentials”, various references Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 16 www.mentor.com
  • 17. Clocks Power • Increases as skew and jitter decrease • Insertion delays increase, longer buffer chains, power increases clk Timing • Use skew to improve timing IR-Drop Power • Increases as skew decreases “0-skew” clk • Lower drop-> lower margin-> lower voltage-> less power • Reliability & noise Power • During test can cause good die to fail “∆-skew” clk ∆ ∆ Packaging • Ldi/dt, IR drop, thermal considerations Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 17 www.mentor.com
  • 18. Low-Power Design and Multi-Core • Cores per die continues to increase April 2003 • Each core is a Single-Core 130nm April 2005 candidate for its own Dual-Core 90nm power island • As cores increase so do operating modes • Multi-Core chips are September 2007 June 2009 impractical w/o low- Quad-Core 65nm Hexa-Core 45nm power design Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 18 www.mentor.com
  • 19. Low-Power Design Requires MCMM Cell Phone Chip Example Wally Rhines' DesignCon 2009 Keynote Address: “Common Wisdom Versus Reality in the More than 21 mode/corner scenarios Electronics Industry”, February 3, 2009 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 19 www.mentor.com
  • 20. Concurrent Power and Timing Closure for Multi-Voltage Designs Core: Island1: 1.2v-1.8v Island1: 0.9v-1.5v 0.9v-1.5v ON/OFF ON/OFF Island2: Island2: 0.9v-1.5v 0.9v-1.5v 1.2v-1.8v 1.2v-1.8v Complexity Grows as More Domains are Added Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 20 www.mentor.com
  • 21. EDA Waves • Tools and methodologies are always chasing the available capabilities of the existing technologies Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 21 www.mentor.com
  • 22. The Next Big Wave • Increased design complexity due to the shear number of available gates demands higher- level tools Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 22 www.mentor.com
  • 23. Why Optimize Power at the Architecture? Power Optimization Potential Architectural RTL Synthesis Gate Layout 0% 20% 40% 60% 80% 100% Source: LSI Logic Design and Optimization in the ESL Domain has the Biggest Impact on Power Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 23 www.mentor.com
  • 24. Evolving Role of Design Phases in Overall System Power Minimization ESL (Behavioral) ESL (Behavioral) ESL 20% (Behavioral) ESL ESL 30% 40% (Behavioral) (Architectural) 50% ESL 20% (Architectural) RTL 10% 20% ESL RTL 10% (Architectural) ESL 30% (Architectural) 30% Physical 50% RTL 10% Physical 40% RTL 10% Physical 20% Physical 10% 2009 2011 2013 2015 Source: The International Technology Roadmap for Semiconductors (ITRS), 2009: Design Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 24 www.mentor.com
  • 25. Low-Power Architectural Exploration “I want high-level tools to help me do design exploration.” Power Power tools for architecture exploration Rapid evaluation of the power and perf impact of architecture tradeoffs End-of-flow tools are not sufficient Low-power X Storage arrays, interconnect, etc… Custom design for power, not perf Optimized Vdd, Vt Bill Dally Bill Dally‘s 46th DAC Keynote Address: “The End of Denial Sr. VP Research, Nvidia Architecture and the Rise of Throughput Computing”, July 29, 2009 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 25 www.mentor.com
  • 26. Architectural Impact on Low-Power Transmitter Min. Freq. to Area Average Design Achieve Req. Rate (mm2) Power (IFFT Block) (mW) 3.99 Comb ( 48 bfly4s ) 1.0 MHz 4.91 3.99 Piped ( 48 bfly4s ) 1.0 MHz 5.25 4.92 Folded ( 16 bfly4s ) 1.0 MHz 3.97 7.27 ~8.6x Folded ( 8 bfly4s ) 1.5 MHz 3.69 10.90 Folded ( 4 bfly4s ) 3.0 MHz 2.45 14.40 Folded ( 2 bfly4s ) 6.0 MHz 1.84 21.10 34.6 Folded ( 1 bfly4 ) 12.0 MHz 1.52 34.60 8.6x power variation resulted from architecture tradeoffs Source: “802.11a Transmitter: A case Study in Microarchitectural Exploration”, N. Dave et. al., Proceedings of MEMOCODE 2006 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 26 www.mentor.com
  • 27. TSMC Sees the Importance of This Too ESL/HLS SiP ½ Node Design Challenges DFM AF Stat Tim’g DFM Pwr Mgmt Pwr CF SI CF Hier Flow Tim’g CF RF 5.0 RF 6.0 RF 7.0 RF 8.0 RF 9.0 RF 10.0 RF 11.0 2004 2005 2006 2007 2008 2009 2010 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 27 www.mentor.com
  • 28. Example: Power Down / Up Sequence Power down sequence correctly executed for isolation, retention & power Corruption of internal nets during power down Output values restored at power up Outputs remain at Output values saved isolated value during retention during power down Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 28 www.mentor.com
  • 29. Low-power Test Considerations Reduce Power During Test Test for Low-Power Designs Design partitioning Power information — Test in multiple sessions — UPF/P1801 — Untested cores use low power Test in presence of low- power features Low-power ATPG — DRC — Reduce switching during: — ATPG – Load – Capture Test of low-power features – Unload — Power gating control logic — Power metrics reporting — Retention cells — Constant flow compactor — Isolation cells — ATPG gater control — Level shifters 29 Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 29 www.mentor.com
  • 30. Packaging Impacts Power • “These days about half of the dissipation in microprocessors comes from communication with external memory chips. If these chips are stacked together in 3D, communication energy cost might drop to a tenth.” – Bernard Meyerson, CTO of the Systems & Technology Group, IBM http://techon.nikkeibp.co.jp/article/HONSHI/20090527/170863/ Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 30 www.mentor.com
  • 31. Power Issues Extend to the Board • ICs have a power problem Trends: – Lower & Multiple voltages/IC – Higher currents – Lower voltage supply tolerances • PCB power distribution networks are more complex – Multiple PDNs on single PCB – Requires “jigsaw” of split power / ground planes – Over-conservative design increases cost Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 31 www.mentor.com
  • 32. Low-Power Flow Solution Design Verification TLM-Based TLM-Based RTL & Gates RTL & Gates ESL ESL Power-Aware IEEE Std 1801™-2009 Power-Aware IEEE Std 1801™-2009 Power-Aware Models Power-Aware Models HLS HLS Formal Checks Formal Checks Architectural Architectural Trade-Off Analysis Power Rule Checking Power Rule Checking Trade-Off Analysis Test Test Clock Crossings Clock Crossings Power-Aware Test Power-Aware Test Multiple Domain issues Multiple Domain Issues Issues issues Low-Power Test Low-Power Test Place & Route LVS // DRC LVS DRC Place & Route Layout-Level Layout-Level Multi-Corner Multi-Mode Multi-Corner Multi- Multi- Multi-Mode Power-Aware Checks Power-Aware Checks Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 32 www.mentor.com
  • 33. Summary • Rescued by New Process Technology? – Not Likely Soon – Probably Will Get Worse Before it Gets Better • Standardized Formats – Significant Aid to Design & Verification Flows • Power is a System-Wide Optimization • Biggest Bang for the Buck is in Front-End Design Israel, May 4, 2010 © 2010 Mentor Graphics Corp. 33 www.mentor.com
  • 34. Thank You! Israel, May 4, 2010 © 2010 Mentor Graphics Corp. www.mentor.com