SlideShare una empresa de Scribd logo
1 de 14
Složeni logički
sklopovi
                                            Minimizacija



                               Ralizacija
                                funkcije

                   Minterm i
                   maksterm

         Problem                            Kraj
Problem

   Kako za zadanu tablicu realizirati
    sklop?

      Iz zadane tablice može se dobiti
      funkcija i to :
      •U obliku zbroja minterma (umnožaka)
      •U obliku umnožka maksterma (zbrojeva).
Realizacija minterma
i maksterma
   Minterm je operacija umnoška
         Realizira se logičkim I sklopom
         Za samo jednu kombinaciju vrijednosti varijabli
          (ulaza) ima vrijednost 1
         Broj različitih minterma ovisi o broju varijabli (ulaza)
          i iznosi 2n, n – broj varijabli (ulaza)

                               AB               A       B      AB
                                                0       0      0
                                                0       1       1
     Realiziraj preostale                       1       0       0
     minterme s 2 ulaza
                                                1       1       0
Maksterm
   Maksterm je operacija zbroja
         Realizira se ILI logičkim sklopom
         Za samo jednu kombinaciju svojih varijabli (ulaza) ima
          vrijednost 0
         Broj različitih maksterma utvrđuje se na isti način kao
          u slučaju minterma


                            A+B             A    B A+B
                                            0     0      1
                                            0     1      1
     Realiziraj preostale                   1     0      1
     maksterme s 2 ulaza                    1     1      0
Realizacija sklopova
zbrojem minterma i umoškom maksterma

   Od kombinacija varijabli za koje funkcija ima
    vrijednost 1 dobije se zbroj minterma
         Minterm mora imati vrijednost 1 kada se u njega uvrsti
          odgovarajuća kombinacija vrijednosti varijabli
   Od kombinacija za koje funkcija ima
    vrijednost 0 dobije se umnožak maksterma
         Maksterm mora imati vrijednost 0 kada se u njega
          uvrsti odgovarajuća kombinacija vrijednosti varijabli
Primjer EX ILI funkcija
 A      B     Y
 0      0     0     A+ B     Za A = B = 0 maksterm ima vrijednost 0

 0      1     1      AB    Za A = 0 i B = 1 minterm ima vrijednost 1

 1      0     1      AB    Za A = 1 i B = 0 minterm ima vrijednost 1

 1      1     0     A+B      Za A = B = 1 Maksterm ima vrijednost 0



Y = ( A + B) ⋅ ( A + B)

Y = AB + A B
Realizacija




       Y = AB + A B       Y = ( A + B) ⋅ ( A + B)

Zbroj minterma        Umnožak maksterma
EX ILI funkcija
   Riječ je o dva različita oblika iste funkcije.

    Y = ( A + B) ⋅ ( A + B) =
    = A A + A B + AB + BB =; A A = 0, BB = 0
    = A B + AB
Logički sklop isključivo ILI
      Isključivo (EX) ILI funkcija može se
       realizirati zbrojem minterma i umnoškom
       maksterma
      Međutim, postoji logički sklop za tu funkciju
                                    A      B     Y
                                    0      0     0
                                    0      1     1
                                    1      0     1
       Y = A⊕B                      1      1     0


Na izlazu ima vrijednost 1 ako je, isključivo na jednom od
ulaza vrijednost 1.
Isključivo (EX) NILI
                       A   B   Y
                       0   0   1
                       0   1   0
                       1   0   0
Y = A⊕B                1   1   1


 Funkcija:

Y = A B + AB
Y = ( A + B)( A + B)
Minimizacija
   Zakoni Booleove algebre primjenjuju se prilikom
    minimizacije funkcije – algebarska metoda
   Minimizacija je postupak transformacije funkcije
    tako da bude realizirana s najmanjim mogućim
    brojem logičkih sklopova
   Algebarska metoda nije pouzdan način
    minimizacije funkcija
   Postoje metode, poput primjene Karnaugh –
    ovih tablica pomoću kojih se funkcija pouzdano
    minimizira
Primjer
   Realizirati sklop za zadanu funkciju:
    Y = ( A + D) ⋅ ABC + C + D ⋅ B + AD
Minimizacija funkcije

Y = ( A + D) ⋅ ABC + C + D ⋅ B + AD   Dvostruki komplement

 = ( A + D)ABC + C ⋅ D ⋅ BAD          De Morganovo pravilo

 = ( A + D)ABC + CDB( A + D)          De Morganovo pravilo

  = A ABC + ABCD + ABCD + BCDD           =0

 = ABC( D + D) + BCD                     =1

= ABC + BCD                           Nacrtaj sklop
Pokus
   Program Logisim omogućava minimizaciju
    (Pokus 3) upisane funkcije ili nacrtanog
    sklopa
   Odabirom naredbe Analyze Circuit u
    izborniku Project te kartice Minimized može
    se vidjeti kako bi se izvela minimizacija
    putem Karnaug – ovih tablica
       Klikom na gumbe Set As Expression i Build
        Circuit izvodi se minimizacija

Más contenido relacionado

La actualidad más candente

Realni brojevi (8.razred)
Realni brojevi (8.razred)Realni brojevi (8.razred)
Realni brojevi (8.razred)
mihailmihail
 
Internet pretrazivaci
Internet pretrazivaciInternet pretrazivaci
Internet pretrazivaci
JekaBieber
 
Barokna skulptura
Barokna skulpturaBarokna skulptura
Barokna skulptura
bibana
 
Pravila čitanja
Pravila čitanjaPravila čitanja
Pravila čitanja
vlada86
 
Sabiranje i oduzimanje algebarskih razlomaka
Sabiranje i oduzimanje algebarskih razlomakaSabiranje i oduzimanje algebarskih razlomaka
Sabiranje i oduzimanje algebarskih razlomaka
profmarina
 

La actualidad más candente (20)

Realni brojevi (8.razred)
Realni brojevi (8.razred)Realni brojevi (8.razred)
Realni brojevi (8.razred)
 
Bela Griva
Bela GrivaBela Griva
Bela Griva
 
Algoritam.pptx
Algoritam.pptxAlgoritam.pptx
Algoritam.pptx
 
20. 0ктобар - Међународни дан јабука
20. 0ктобар - Међународни дан јабука20. 0ктобар - Међународни дан јабука
20. 0ктобар - Међународни дан јабука
 
Internet pretrazivaci
Internet pretrazivaciInternet pretrazivaci
Internet pretrazivaci
 
Дан јабука
Дан јабукаДан јабука
Дан јабука
 
Bosna i Hercegovina pod vlašću Austro-Ugarske
Bosna i Hercegovina pod vlašću Austro-UgarskeBosna i Hercegovina pod vlašću Austro-Ugarske
Bosna i Hercegovina pod vlašću Austro-Ugarske
 
Jednacina prave
Jednacina praveJednacina prave
Jednacina prave
 
Uticaj tehnologije na zdravlje 5 razred
Uticaj tehnologije na zdravlje 5 razredUticaj tehnologije na zdravlje 5 razred
Uticaj tehnologije na zdravlje 5 razred
 
Zakon očuvanja mehaničke energije
Zakon očuvanja mehaničke energijeZakon očuvanja mehaničke energije
Zakon očuvanja mehaničke energije
 
ITALIJA.pptx
ITALIJA.pptxITALIJA.pptx
ITALIJA.pptx
 
Barokna skulptura
Barokna skulpturaBarokna skulptura
Barokna skulptura
 
Сумњиво лице
Сумњиво лицеСумњиво лице
Сумњиво лице
 
Pravila čitanja
Pravila čitanjaPravila čitanja
Pravila čitanja
 
Sabiranje i oduzimanje algebarskih razlomaka
Sabiranje i oduzimanje algebarskih razlomakaSabiranje i oduzimanje algebarskih razlomaka
Sabiranje i oduzimanje algebarskih razlomaka
 
Зависне реченице
Зависне реченицеЗависне реченице
Зависне реченице
 
tolerancije.pptx
tolerancije.pptxtolerancije.pptx
tolerancije.pptx
 
Dječja prava stela zmb
Dječja prava stela zmbDječja prava stela zmb
Dječja prava stela zmb
 
Skole nekad-i-sad
Skole nekad-i-sad Skole nekad-i-sad
Skole nekad-i-sad
 
Translacija
TranslacijaTranslacija
Translacija
 

složeni logički sklopovi

  • 1. Složeni logički sklopovi Minimizacija Ralizacija funkcije Minterm i maksterm Problem Kraj
  • 2. Problem  Kako za zadanu tablicu realizirati sklop? Iz zadane tablice može se dobiti funkcija i to : •U obliku zbroja minterma (umnožaka) •U obliku umnožka maksterma (zbrojeva).
  • 3. Realizacija minterma i maksterma  Minterm je operacija umnoška  Realizira se logičkim I sklopom  Za samo jednu kombinaciju vrijednosti varijabli (ulaza) ima vrijednost 1  Broj različitih minterma ovisi o broju varijabli (ulaza) i iznosi 2n, n – broj varijabli (ulaza) AB A B AB 0 0 0 0 1 1 Realiziraj preostale 1 0 0 minterme s 2 ulaza 1 1 0
  • 4. Maksterm  Maksterm je operacija zbroja  Realizira se ILI logičkim sklopom  Za samo jednu kombinaciju svojih varijabli (ulaza) ima vrijednost 0  Broj različitih maksterma utvrđuje se na isti način kao u slučaju minterma A+B A B A+B 0 0 1 0 1 1 Realiziraj preostale 1 0 1 maksterme s 2 ulaza 1 1 0
  • 5. Realizacija sklopova zbrojem minterma i umoškom maksterma  Od kombinacija varijabli za koje funkcija ima vrijednost 1 dobije se zbroj minterma  Minterm mora imati vrijednost 1 kada se u njega uvrsti odgovarajuća kombinacija vrijednosti varijabli  Od kombinacija za koje funkcija ima vrijednost 0 dobije se umnožak maksterma  Maksterm mora imati vrijednost 0 kada se u njega uvrsti odgovarajuća kombinacija vrijednosti varijabli
  • 6. Primjer EX ILI funkcija A B Y 0 0 0 A+ B Za A = B = 0 maksterm ima vrijednost 0 0 1 1 AB Za A = 0 i B = 1 minterm ima vrijednost 1 1 0 1 AB Za A = 1 i B = 0 minterm ima vrijednost 1 1 1 0 A+B Za A = B = 1 Maksterm ima vrijednost 0 Y = ( A + B) ⋅ ( A + B) Y = AB + A B
  • 7. Realizacija Y = AB + A B Y = ( A + B) ⋅ ( A + B) Zbroj minterma Umnožak maksterma
  • 8. EX ILI funkcija  Riječ je o dva različita oblika iste funkcije. Y = ( A + B) ⋅ ( A + B) = = A A + A B + AB + BB =; A A = 0, BB = 0 = A B + AB
  • 9. Logički sklop isključivo ILI  Isključivo (EX) ILI funkcija može se realizirati zbrojem minterma i umnoškom maksterma  Međutim, postoji logički sklop za tu funkciju A B Y 0 0 0 0 1 1 1 0 1 Y = A⊕B 1 1 0 Na izlazu ima vrijednost 1 ako je, isključivo na jednom od ulaza vrijednost 1.
  • 10. Isključivo (EX) NILI A B Y 0 0 1 0 1 0 1 0 0 Y = A⊕B 1 1 1 Funkcija: Y = A B + AB Y = ( A + B)( A + B)
  • 11. Minimizacija  Zakoni Booleove algebre primjenjuju se prilikom minimizacije funkcije – algebarska metoda  Minimizacija je postupak transformacije funkcije tako da bude realizirana s najmanjim mogućim brojem logičkih sklopova  Algebarska metoda nije pouzdan način minimizacije funkcija  Postoje metode, poput primjene Karnaugh – ovih tablica pomoću kojih se funkcija pouzdano minimizira
  • 12. Primjer  Realizirati sklop za zadanu funkciju: Y = ( A + D) ⋅ ABC + C + D ⋅ B + AD
  • 13. Minimizacija funkcije Y = ( A + D) ⋅ ABC + C + D ⋅ B + AD Dvostruki komplement = ( A + D)ABC + C ⋅ D ⋅ BAD De Morganovo pravilo = ( A + D)ABC + CDB( A + D) De Morganovo pravilo = A ABC + ABCD + ABCD + BCDD =0 = ABC( D + D) + BCD =1 = ABC + BCD Nacrtaj sklop
  • 14. Pokus  Program Logisim omogućava minimizaciju (Pokus 3) upisane funkcije ili nacrtanog sklopa  Odabirom naredbe Analyze Circuit u izborniku Project te kartice Minimized može se vidjeti kako bi se izvela minimizacija putem Karnaug – ovih tablica  Klikom na gumbe Set As Expression i Build Circuit izvodi se minimizacija