SlideShare una empresa de Scribd logo
1 de 24
Descargar para leer sin conexión
The Future of AI is Here Today:
Deep Dive into Qualcomm’s
On-Device AI Offering
Vinesh Sukumar
Sr. Director, Product Management (AI/ML)
Qualcomm Technologies, Inc.
Privacy
Reliability
Low latency
Efficient use of
network bandwidth
Process data closest to the
source, complement the
cloud
Center of Gravity Moving to the Edge...
2
Autonomy
Personalization
Efficiency
Security
Historically
Increased Demand
© 2022 Qualcomm Technologies, Inc.
At Qualcomm – AI Deployed Across Various
Technologies & Verticals
3
Enhance
BU VERTICALS
Create
Superior
solutions
Enabling
developer
ecosystems
MORE THAN
A DECADE
Of AI R&D
TECHNOLOGIES
QUALCOMM® AI ENGINE
Software layer
Hardware layer
Application layer
Modem
Camera Video
Audio
Voice
AR/VR
Windows 11 – PC Computing
Smart Camera – IoT Markets
ADAS Markets
© 2022 Qualcomm Technologies, Inc.
AI Applications
AI Applications: Across Various Segments
Expanding beyond modalities of computer vision to linguistics, communication, commerce and
language understanding
5
Mobile IoT Compute Cloud Auto
AI Assisted Imaging
• AI 3A
• Scene-based Camera Selection
Image Understanding
• Face Detection / Tracking / Features
• Object Detection / Tracking
• Body Detection / Tracking / Pose
• Human Segmentation
• Sky Segmentation
• Multi-Class Segmentation
• Depth Estimation
Beautify / Augment
• Scene-based Image Enhancement
Image Processing
• AI based NR or Image SR
• Scene-based Camera Selection
Audio
• Real time language
• Natural language processing (NLP)
Modem
• Parameter optimization
• Robust sequence predictions
Robotics
• Autonomous navigation
• Obstacle Avoidance
• Picking and Sorting
Productivity
• Background based noise cancellation on
Audio (inbound and outbound)
• Segmentation/Blur/Super Resolution on
Video
• Voice activation without keywords
• Face tracking
• Smart photo categorization
Data Centers
• Natural language processing
• Computer vision
• Recommendation system
IVI
• Occupancy monitoring system (OMS)
• Driver monitoring system (DMS)
• Surround perception
• Audio Command & Control
Retail
• Visitor/Face/Gesture Recognition
• Object/People Detection and Counting
• Barcode decoding
• Empty shelf detection
• Dwell time
Privacy & Security
• Automatic screen unlock and login
• Privacy alert
• Guard mode
ADAS (Up to L4)
• Highway driving assist
• Front collision warning
• lane departure,
• Traffic jam assist
• Auto lane change
• Auto lane merge
• Traffic light recognition
• Construction zones
• Urban autonomous driving
• Parking assist
• Person detection,
• Perception
• Valet parking
• Driver monitoring
Transportation
• License plate recognition
• Face and facial landmark detection
• Drowsiness detection
Content Creation & Gaming
 Gaming with gesture control
 Gaming with voice commands
 Intelligent highlight videos
 Game play improvement
Edge Compute
• Theft detection
• Face/body/license plate detection /
recognition
• Image classification and segmentation
Smart Devices
• Object/People detection
• Speaker detection
• Gun shot detection
Smart Buildings
• People Tracking
• Access Control
Performance & Efficiency
• Power and Screen optimization
Manufacturing/Logistics
• Predictive maintenance
• Energy management with Asset demand
Innovation centered around supporting high accuracy, latency &
heterogeneity
Innovation centered around energy efficiency & personalization Innovation centered around making
AI relevant in PC
Battery Life Latency Focused Throughput Heavy Concurrently Enabled
Few examples
Challenges of AI Applications
6
Power and thermal
efficiency are essential
for on-device AI
Very compute
intensive
Large,
complicated neural
network models
Complex
concurrencies
Always-on
Real-time
Must be thermally
efficient for sleek,
ultra-light designs
Storage/memory
bandwidth limitations
Requires long battery
life for all-day use
Ability to drive high
concurrency and utilization
Lays the foundation for Qualcomm AI Hardware
© 2022 Qualcomm Technologies, Inc.
AI Hardware
Vision: Drive Leadership Capability Across All Markets
8
Performance Scalability Co-Design
Innovation
Invest in performance
(Inf/Sec) and Power
efficiency (Inf/Sec/W)
Leverage existing AI HW
engines to scale across
various TDP points
Feature innovation to drive
leadership (Datatypes,
Sparsity, Streaming…)
HW & SW co-design to
make programmability
easier (NAS, Compatibility)
© 2022 Qualcomm Technologies, Inc.
7th Generation :
Introducing Qualcomm AI Engine for All Verticals
9
© 2022 Qualcomm Technologies, Inc.
7th Generation :
Qualcomm AI Engine
10
Large-shared memory
Computational performance
2X
2X
© 2022 Qualcomm Technologies, Inc.
Scaling – Dedicated Investments in AI HW Engines
11
Investment in sparsity modules for
supporting Auto ADAS usages
Optimize design for sustained low power
with the ability to enable high concurrency
Investment in dedicated datatypes for higher
performance and TCO (Total Cost of Ownership)
Snapdragon is a product of Qualcomm Technologies, Inc. and/or its subsidiaries
Using Mobile Design as an Anchor Point
© 2022 Qualcomm Technologies, Inc.
Performance Leadership – Using MLPerf ™ 2.0
RESNET50
2221
Inf/sec
MOSAIC
752
Inf/sec
BERT
101
Inf/sec
16
75W cards
in one server
20 server
units in one
server rack
Gigabyte
Platform
371,473
Inf/sec
7.4+M
Inf/sec
Cloud AI 100 servers achieve 3.7x
higher rack-level ResNet-50 inference
performance than Nvidia A100 servers
8C GEN 1 achieves an average of
about 26% better latency than Exynos
devices across various categories
Scaling from Mobile to Cloud..
Qualcomm® 8C GEN 1 Mobile Qualcomm® Cloud AI 100
Xiaomi Mi12
Platform
RESNET50
Qualcomm Cloud AI 100 is a product of Qualcomm Technologies, Inc. and/or its subsidiaries.
© 2022 Qualcomm Technologies, Inc. 12
AI Software
Vision: Accelerate AI Innovation and Solution
Deployment
14
Performance Scalability Innovation
Tools
Accelerate “out of box”
operator functionality
and performance
Ability to have
programming consistency
from Cloud to Edge
Accelerate AI
Solution deployment
with investment in Tools
Innovation to drive
product leadership
(Pre-emption, DFS, Multi Chaining)
© 2022 Qualcomm Technologies, Inc.
Qualcomm AI SW MLOps Cycle (Inception to
Monitoring)
15
Data
Preparation
(Data labeling, datasets,
feature store)
Model
Preparation
(Training/HP-tuning,
HW-aware NAS)
Model
Deployment
(Quantization,
compression, Inference)
Model
Monitoring
(Detect drift, training-
serving skew)
Focus for SW Discussion Today
© 2022 Qualcomm Technologies, Inc.
AI Software Workflow
16
Choose env.,
config.,
model and
framework
Does the model
meet
performance
metrics?
Model
Compilation/
Runner
Accuracy
Evaluation
Does the
model
compile?
Is the
model’s
accuracy
acceptable?
Is the model’s
output &
latency
acceptable?
Integrate
model into
Application
or pipeline
Deploy
Application
Train &
Finetune
model
Add custom
layers and/or
fix errors
Debug and
fix errors
Qualcomm®
Neural
Processing
SDK Converter
and Quantizer
Custom Ops
LLVM (C/C++)
TVM (Python)
AI model
Efficiency
Toolkit
(AIMET)
Formats
supported
Profile
Model
Performance
Did that
work?
Use advanced
optimization
techniques
Hexagon
Profiler
& Trace
Analyzer
Data
Scientist
ML Training
Engineer
ML Inference
Engineer
App
Developer
DevOps
Engineer
Environment Training Compilation Accuracy analysis Optimizations Integration Deployment
Qualcomm®
Neural Processing
SDK Profilers
Legend
Customer chosen SW
Customer ML Workflow
Target Usage
SNPE & Qualcomm AI
Engine Direct Workflow
Tools for performance
& accuracy optimization
© 2022 Qualcomm Technologies, Inc.
NAS : Model Optimization Mapped to HW Intrinsics
17
Partnering with Google Vertex AI Team - Integrated into Qualcomm Software Stack
Results 
Note : DL architecture choices influence results
STEP : 1
Space of allowable
architectures (Structure,
operations, connectivity)
Sampling populations of
good architecture
candidates
Estimate performance of
sampled architecture
Search Space
Search Algorithm
Evaluation Strategy
How 
• 8-10 % Accuracy Improvements
• ~20 to 30% Latency Reduction
© 2022 Qualcomm Technologies, Inc.
AIMET : Quantize & Compress AI Models
18
Trained
AI model
AI Model Efficiency Toolkit
(AIMET)
Optimized
AI model
TensorFlow or PyTorch
Compression
Quantization
Deployed
AI model
State of the art network compression and quantization
tools for various DL architectures (CNN, BERT, GAN’s..)
Automated way of enabling reduction in precision of
weights and activation while maintaining accuracy
Original Image FP16 Segmented Map Quantized Segmented Map
<0.5% Drop in Accuracy (Across various DL Models)
-> Using QAT and PTQ techniques from AIMET
Results 
Why 
STEP : 2
Your–NN-Framework
Training .onnx .onnx .onnx .onnx .onnx
.onnx .pb
CPU
Qualcomm Neural Network Library
QML
NEON
KERNELS KERNELS
eAI
Open CL Open CL
Performance
Qualcomm®
Neural
Processing
SDK
ONNXRT
PT
Mobile
TF-
Lite
NNAPI TF-Lite µ
GPU
Hexagon
Processor
Qualcomm
Sensing
Hub
General purpose
compute engines
AI engines
Profiler
Debugger
Visualizer
Compilers
Scalability
Runtime
framework
Low level
Library
AI Engine
On-Device
Execution/Inference
Run Time: Qualcomm AI Software Stack for
Performance and Scalability Support
- Application Deployment
STEP : 3
19
• Meaningful improvements after initial chip shipment ; achieved through software investment / innovation
• Consequences -
• Additional gains across the entire SoC portfolio for downstream chips and adjacent BUs from a common investment
• Platform software that can be delivered incrementally to already released products can continue to improve
• Improvements directly leveraged into next generation chips
• Improvements in current generation can lead to relative performance gap compression with next-gen devices
0
200
400
600
800
1000
1200
1400
1600
1800
2000
Tech Day MLPerf
1.0
MLPerf
1.1
Tech Day MLPerf
1.0
MLPerf
1.1
Tech Day MLPerf
1.0
MLPerf
1.1
MobilenetEdgeTPU DeeplabV3+ MobilenetEdgeTPU - Offline
Premium Mobile MLPerf Improvements
0
200000
400000
600000
800000
1000000
1200000
Dec-20 May-21 Dec-20 May-21 Oct-20 Dec-20
AIMark AITutu AIB4
Premium Mobile – Other
Benchmark Improvements
0
50
100
150
200
250
300
350
400
450
500
ML Perf 1.0 ML Perf 1.1
Resnet34-SSD
Data Center- Improvement
for Resnet34-SSD
STEP : 4 Continuous Improvements in SW Stack
- For Performance Leadership
20
© 2022 Qualcomm Technologies, Inc.
Conclusions
• AI Applications expanding beyond modalities of computer vision to linguistics, communication,
commerce and language understanding
• With evolution of AI Applications across many verticals, continued push for innovation around
latency, heterogeneity, concurrency and user personalization
• This is putting a lot of emphasis for the need of custom HW modules in several BU
verticals in Qualcomm
• Qualcomm silicon continues to show leadership in performance and energy efficiency in
industry leading benchmarks
• High investment in software continues to accelerate AI solution deployment across all verticals
Conclusion
22
© 2022 Qualcomm Technologies, Inc.
Resources
23
2022 Embedded Vision Summit
• “Powering the Intelligent Connected Edge and the
Future of On-Device AI” Ziad Asghar May 18 9:30
- 10:00 AM PT
• “A Practical Guide to Getting the DNN Accuracy You
Need and the Performance You Deserve” Felix
Baum May 18 2:40 - 3:10 PM PT
• “Tools for Creating Next-Gen Computer Vision Apps
on Snapdragon” Judd Heape May 18 10:50 -
11:20 AM PT
• “Seamless Deployment of Multimedia and Machine
Learning Applications at the Edge” Megha Daga
May 17 2:40 - 3:10 PM PT
Qualcomm Mobile AI
Mobile AI | On-Device AI | Qualcomm®
Qualcomm & Google NAS
Qualcomm Technologies and Google Cloud
Announce Collaboration on Neural Architecture
Search for the Connected Intelligent Edge |
Qualcomm
Vinesh Sukumar
Senior Director, Product Management – AI/ML
vinesuku@qti.qualcomm.com
© 2022 Qualcomm Technologies, Inc.
Thank You

Más contenido relacionado

La actualidad más candente

"Emerging Processor Architectures for Deep Learning: Options and Trade-offs,"...
"Emerging Processor Architectures for Deep Learning: Options and Trade-offs,"..."Emerging Processor Architectures for Deep Learning: Options and Trade-offs,"...
"Emerging Processor Architectures for Deep Learning: Options and Trade-offs,"...
Edge AI and Vision Alliance
 
“Modernizing the Development of AI-based IoT Devices with Wedge,” a Presentat...
“Modernizing the Development of AI-based IoT Devices with Wedge,” a Presentat...“Modernizing the Development of AI-based IoT Devices with Wedge,” a Presentat...
“Modernizing the Development of AI-based IoT Devices with Wedge,” a Presentat...
Edge AI and Vision Alliance
 
Transforming Private 5G Networks
Transforming Private 5G NetworksTransforming Private 5G Networks
Transforming Private 5G Networks
inside-BigData.com
 

La actualidad más candente (20)

5G Network Slicing
5G Network Slicing5G Network Slicing
5G Network Slicing
 
NVIDIA Keynote #GTC21
NVIDIA Keynote #GTC21 NVIDIA Keynote #GTC21
NVIDIA Keynote #GTC21
 
"Emerging Processor Architectures for Deep Learning: Options and Trade-offs,"...
"Emerging Processor Architectures for Deep Learning: Options and Trade-offs,"..."Emerging Processor Architectures for Deep Learning: Options and Trade-offs,"...
"Emerging Processor Architectures for Deep Learning: Options and Trade-offs,"...
 
NVIDIA @ AI FEST
NVIDIA @ AI FESTNVIDIA @ AI FEST
NVIDIA @ AI FEST
 
Beginners: Introduction to 5G Reduced Capability (RedCap) Devices
Beginners: Introduction to 5G Reduced Capability (RedCap) DevicesBeginners: Introduction to 5G Reduced Capability (RedCap) Devices
Beginners: Introduction to 5G Reduced Capability (RedCap) Devices
 
The future of AI is hybrid
The future of AI is hybridThe future of AI is hybrid
The future of AI is hybrid
 
Chiplets in Data Centers
Chiplets in Data CentersChiplets in Data Centers
Chiplets in Data Centers
 
Smart transportation | Intelligent transportation system (ITS)
Smart transportation | Intelligent transportation system (ITS)Smart transportation | Intelligent transportation system (ITS)
Smart transportation | Intelligent transportation system (ITS)
 
NVIDIA Brochure 2021 Company Overview
NVIDIA Brochure 2021 Company OverviewNVIDIA Brochure 2021 Company Overview
NVIDIA Brochure 2021 Company Overview
 
Jetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous MachinesJetson AGX Xavier and the New Era of Autonomous Machines
Jetson AGX Xavier and the New Era of Autonomous Machines
 
GTC 2022 Keynote
GTC 2022 KeynoteGTC 2022 Keynote
GTC 2022 Keynote
 
What's in the future of 5G millimeter wave?
What's in the future of 5G millimeter wave? What's in the future of 5G millimeter wave?
What's in the future of 5G millimeter wave?
 
Enabling Multi-access Edge Computing (MEC) Platform-as-a-Service for Enterprises
Enabling Multi-access Edge Computing (MEC) Platform-as-a-Service for EnterprisesEnabling Multi-access Edge Computing (MEC) Platform-as-a-Service for Enterprises
Enabling Multi-access Edge Computing (MEC) Platform-as-a-Service for Enterprises
 
5G positioning for the connected intelligent edge
5G positioning for the connected intelligent edge5G positioning for the connected intelligent edge
5G positioning for the connected intelligent edge
 
IoT Applications and Networks
IoT Applications and NetworksIoT Applications and Networks
IoT Applications and Networks
 
Basic of AI Accelerator Design using Verilog HDL
Basic of AI Accelerator Design using Verilog HDLBasic of AI Accelerator Design using Verilog HDL
Basic of AI Accelerator Design using Verilog HDL
 
“Modernizing the Development of AI-based IoT Devices with Wedge,” a Presentat...
“Modernizing the Development of AI-based IoT Devices with Wedge,” a Presentat...“Modernizing the Development of AI-based IoT Devices with Wedge,” a Presentat...
“Modernizing the Development of AI-based IoT Devices with Wedge,” a Presentat...
 
AMD Chiplet Architecture for High-Performance Server and Desktop Products
AMD Chiplet Architecture for High-Performance Server and Desktop ProductsAMD Chiplet Architecture for High-Performance Server and Desktop Products
AMD Chiplet Architecture for High-Performance Server and Desktop Products
 
Transforming Private 5G Networks
Transforming Private 5G NetworksTransforming Private 5G Networks
Transforming Private 5G Networks
 
FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning
 

Similar a “The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offerings,” a Presentation from Qualcomm

“Accelerate Tomorrow’s Models with Lattice FPGAs,” a Presentation from Lattic...
“Accelerate Tomorrow’s Models with Lattice FPGAs,” a Presentation from Lattic...“Accelerate Tomorrow’s Models with Lattice FPGAs,” a Presentation from Lattic...
“Accelerate Tomorrow’s Models with Lattice FPGAs,” a Presentation from Lattic...
Edge AI and Vision Alliance
 
“Autonomous Driving AI Workloads: Technology Trends and Optimization Strategi...
“Autonomous Driving AI Workloads: Technology Trends and Optimization Strategi...“Autonomous Driving AI Workloads: Technology Trends and Optimization Strategi...
“Autonomous Driving AI Workloads: Technology Trends and Optimization Strategi...
Edge AI and Vision Alliance
 
Are you ready to be edgy? Bringing applications to the edge of the network
Are you ready to be edgy? Bringing applications to the edge of the networkAre you ready to be edgy? Bringing applications to the edge of the network
Are you ready to be edgy? Bringing applications to the edge of the network
Megan O'Keefe
 
“Software-Defined Cameras for Edge Computing of the Future,” a Presentation f...
“Software-Defined Cameras for Edge Computing of the Future,” a Presentation f...“Software-Defined Cameras for Edge Computing of the Future,” a Presentation f...
“Software-Defined Cameras for Edge Computing of the Future,” a Presentation f...
Edge AI and Vision Alliance
 

Similar a “The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offerings,” a Presentation from Qualcomm (20)

“Accelerate Tomorrow’s Models with Lattice FPGAs,” a Presentation from Lattic...
“Accelerate Tomorrow’s Models with Lattice FPGAs,” a Presentation from Lattic...“Accelerate Tomorrow’s Models with Lattice FPGAs,” a Presentation from Lattic...
“Accelerate Tomorrow’s Models with Lattice FPGAs,” a Presentation from Lattic...
 
Accelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to CloudAccelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to Cloud
 
“5G and AI Transforming the Next Generation of Robotics,” a Presentation from...
“5G and AI Transforming the Next Generation of Robotics,” a Presentation from...“5G and AI Transforming the Next Generation of Robotics,” a Presentation from...
“5G and AI Transforming the Next Generation of Robotics,” a Presentation from...
 
Achieving AI @scale on Mobile Devices
Achieving AI @scale on Mobile DevicesAchieving AI @scale on Mobile Devices
Achieving AI @scale on Mobile Devices
 
Dell NVIDIA AI Roadshow - South Western Ontario
Dell NVIDIA AI Roadshow - South Western OntarioDell NVIDIA AI Roadshow - South Western Ontario
Dell NVIDIA AI Roadshow - South Western Ontario
 
“Autonomous Driving AI Workloads: Technology Trends and Optimization Strategi...
“Autonomous Driving AI Workloads: Technology Trends and Optimization Strategi...“Autonomous Driving AI Workloads: Technology Trends and Optimization Strategi...
“Autonomous Driving AI Workloads: Technology Trends and Optimization Strategi...
 
Are you ready to be edgy? Bringing applications to the edge of the network
Are you ready to be edgy? Bringing applications to the edge of the networkAre you ready to be edgy? Bringing applications to the edge of the network
Are you ready to be edgy? Bringing applications to the edge of the network
 
Azure iot edge and AI enabling the intelligent edge
Azure iot edge and AI  enabling the intelligent edgeAzure iot edge and AI  enabling the intelligent edge
Azure iot edge and AI enabling the intelligent edge
 
iEmbed product_service_portfolio_2016
iEmbed product_service_portfolio_2016iEmbed product_service_portfolio_2016
iEmbed product_service_portfolio_2016
 
Re-Imagining the Data Center with Intel
Re-Imagining the Data Center with IntelRe-Imagining the Data Center with Intel
Re-Imagining the Data Center with Intel
 
Accelerating Edge Computing Adoption
Accelerating Edge Computing Adoption Accelerating Edge Computing Adoption
Accelerating Edge Computing Adoption
 
InSource 2017 IIoT Roadshow: The Future of HMI/SCADA and Industrial Cloud Pla...
InSource 2017 IIoT Roadshow: The Future of HMI/SCADA and Industrial Cloud Pla...InSource 2017 IIoT Roadshow: The Future of HMI/SCADA and Industrial Cloud Pla...
InSource 2017 IIoT Roadshow: The Future of HMI/SCADA and Industrial Cloud Pla...
 
IoTSummit: Create iot devices connected or on the edge using ai and ml
IoTSummit: Create iot devices connected or on the edge using ai and mlIoTSummit: Create iot devices connected or on the edge using ai and ml
IoTSummit: Create iot devices connected or on the edge using ai and ml
 
Edge optimized architecture for fabric defect detection in real-time
Edge optimized architecture for fabric defect detection in real-timeEdge optimized architecture for fabric defect detection in real-time
Edge optimized architecture for fabric defect detection in real-time
 
Machine Learning Inference at the Edge
Machine Learning Inference at the EdgeMachine Learning Inference at the Edge
Machine Learning Inference at the Edge
 
“Software-Defined Cameras for Edge Computing of the Future,” a Presentation f...
“Software-Defined Cameras for Edge Computing of the Future,” a Presentation f...“Software-Defined Cameras for Edge Computing of the Future,” a Presentation f...
“Software-Defined Cameras for Edge Computing of the Future,” a Presentation f...
 
IoT and the Oil & Gas industry at M2M Oil & Gas 2014 in London
IoT and the Oil & Gas industry at M2M Oil & Gas 2014 in LondonIoT and the Oil & Gas industry at M2M Oil & Gas 2014 in London
IoT and the Oil & Gas industry at M2M Oil & Gas 2014 in London
 
AWS re:Invent 2016: Powering the Next Generation of Virtual Reality with Veri...
AWS re:Invent 2016: Powering the Next Generation of Virtual Reality with Veri...AWS re:Invent 2016: Powering the Next Generation of Virtual Reality with Veri...
AWS re:Invent 2016: Powering the Next Generation of Virtual Reality with Veri...
 
Vertex Perspectives | AI-optimized Chipsets | Part I
Vertex Perspectives | AI-optimized Chipsets | Part IVertex Perspectives | AI-optimized Chipsets | Part I
Vertex Perspectives | AI-optimized Chipsets | Part I
 
Vertex perspectives ai optimized chipsets (part i)
Vertex perspectives   ai optimized chipsets (part i)Vertex perspectives   ai optimized chipsets (part i)
Vertex perspectives ai optimized chipsets (part i)
 

Más de Edge AI and Vision Alliance

“Learning Compact DNN Models for Embedded Vision,” a Presentation from the Un...
“Learning Compact DNN Models for Embedded Vision,” a Presentation from the Un...“Learning Compact DNN Models for Embedded Vision,” a Presentation from the Un...
“Learning Compact DNN Models for Embedded Vision,” a Presentation from the Un...
Edge AI and Vision Alliance
 
“Selecting Tools for Developing, Monitoring and Maintaining ML Models,” a Pre...
“Selecting Tools for Developing, Monitoring and Maintaining ML Models,” a Pre...“Selecting Tools for Developing, Monitoring and Maintaining ML Models,” a Pre...
“Selecting Tools for Developing, Monitoring and Maintaining ML Models,” a Pre...
Edge AI and Vision Alliance
 
“Vision-language Representations for Robotics,” a Presentation from the Unive...
“Vision-language Representations for Robotics,” a Presentation from the Unive...“Vision-language Representations for Robotics,” a Presentation from the Unive...
“Vision-language Representations for Robotics,” a Presentation from the Unive...
Edge AI and Vision Alliance
 
“Computer Vision in Sports: Scalable Solutions for Downmarkets,” a Presentati...
“Computer Vision in Sports: Scalable Solutions for Downmarkets,” a Presentati...“Computer Vision in Sports: Scalable Solutions for Downmarkets,” a Presentati...
“Computer Vision in Sports: Scalable Solutions for Downmarkets,” a Presentati...
Edge AI and Vision Alliance
 
“AI Start-ups: The Perils of Fishing for Whales (War Stories from the Entrepr...
“AI Start-ups: The Perils of Fishing for Whales (War Stories from the Entrepr...“AI Start-ups: The Perils of Fishing for Whales (War Stories from the Entrepr...
“AI Start-ups: The Perils of Fishing for Whales (War Stories from the Entrepr...
Edge AI and Vision Alliance
 
“Bias in Computer Vision—It’s Bigger Than Facial Recognition!,” a Presentatio...
“Bias in Computer Vision—It’s Bigger Than Facial Recognition!,” a Presentatio...“Bias in Computer Vision—It’s Bigger Than Facial Recognition!,” a Presentatio...
“Bias in Computer Vision—It’s Bigger Than Facial Recognition!,” a Presentatio...
Edge AI and Vision Alliance
 
“Updating the Edge ML Development Process,” a Presentation from Samsara
“Updating the Edge ML Development Process,” a Presentation from Samsara“Updating the Edge ML Development Process,” a Presentation from Samsara
“Updating the Edge ML Development Process,” a Presentation from Samsara
Edge AI and Vision Alliance
 
“Developing an Embedded Vision AI-powered Fitness System,” a Presentation fro...
“Developing an Embedded Vision AI-powered Fitness System,” a Presentation fro...“Developing an Embedded Vision AI-powered Fitness System,” a Presentation fro...
“Developing an Embedded Vision AI-powered Fitness System,” a Presentation fro...
Edge AI and Vision Alliance
 

Más de Edge AI and Vision Alliance (20)

“Learning Compact DNN Models for Embedded Vision,” a Presentation from the Un...
“Learning Compact DNN Models for Embedded Vision,” a Presentation from the Un...“Learning Compact DNN Models for Embedded Vision,” a Presentation from the Un...
“Learning Compact DNN Models for Embedded Vision,” a Presentation from the Un...
 
“Introduction to Computer Vision with CNNs,” a Presentation from Mohammad Hag...
“Introduction to Computer Vision with CNNs,” a Presentation from Mohammad Hag...“Introduction to Computer Vision with CNNs,” a Presentation from Mohammad Hag...
“Introduction to Computer Vision with CNNs,” a Presentation from Mohammad Hag...
 
“Selecting Tools for Developing, Monitoring and Maintaining ML Models,” a Pre...
“Selecting Tools for Developing, Monitoring and Maintaining ML Models,” a Pre...“Selecting Tools for Developing, Monitoring and Maintaining ML Models,” a Pre...
“Selecting Tools for Developing, Monitoring and Maintaining ML Models,” a Pre...
 
“Building Accelerated GStreamer Applications for Video and Audio AI,” a Prese...
“Building Accelerated GStreamer Applications for Video and Audio AI,” a Prese...“Building Accelerated GStreamer Applications for Video and Audio AI,” a Prese...
“Building Accelerated GStreamer Applications for Video and Audio AI,” a Prese...
 
“Understanding, Selecting and Optimizing Object Detectors for Edge Applicatio...
“Understanding, Selecting and Optimizing Object Detectors for Edge Applicatio...“Understanding, Selecting and Optimizing Object Detectors for Edge Applicatio...
“Understanding, Selecting and Optimizing Object Detectors for Edge Applicatio...
 
“Introduction to Modern LiDAR for Machine Perception,” a Presentation from th...
“Introduction to Modern LiDAR for Machine Perception,” a Presentation from th...“Introduction to Modern LiDAR for Machine Perception,” a Presentation from th...
“Introduction to Modern LiDAR for Machine Perception,” a Presentation from th...
 
“Vision-language Representations for Robotics,” a Presentation from the Unive...
“Vision-language Representations for Robotics,” a Presentation from the Unive...“Vision-language Representations for Robotics,” a Presentation from the Unive...
“Vision-language Representations for Robotics,” a Presentation from the Unive...
 
“ADAS and AV Sensors: What’s Winning and Why?,” a Presentation from TechInsights
“ADAS and AV Sensors: What’s Winning and Why?,” a Presentation from TechInsights“ADAS and AV Sensors: What’s Winning and Why?,” a Presentation from TechInsights
“ADAS and AV Sensors: What’s Winning and Why?,” a Presentation from TechInsights
 
“Computer Vision in Sports: Scalable Solutions for Downmarkets,” a Presentati...
“Computer Vision in Sports: Scalable Solutions for Downmarkets,” a Presentati...“Computer Vision in Sports: Scalable Solutions for Downmarkets,” a Presentati...
“Computer Vision in Sports: Scalable Solutions for Downmarkets,” a Presentati...
 
“Detecting Data Drift in Image Classification Neural Networks,” a Presentatio...
“Detecting Data Drift in Image Classification Neural Networks,” a Presentatio...“Detecting Data Drift in Image Classification Neural Networks,” a Presentatio...
“Detecting Data Drift in Image Classification Neural Networks,” a Presentatio...
 
“Deep Neural Network Training: Diagnosing Problems and Implementing Solutions...
“Deep Neural Network Training: Diagnosing Problems and Implementing Solutions...“Deep Neural Network Training: Diagnosing Problems and Implementing Solutions...
“Deep Neural Network Training: Diagnosing Problems and Implementing Solutions...
 
“AI Start-ups: The Perils of Fishing for Whales (War Stories from the Entrepr...
“AI Start-ups: The Perils of Fishing for Whales (War Stories from the Entrepr...“AI Start-ups: The Perils of Fishing for Whales (War Stories from the Entrepr...
“AI Start-ups: The Perils of Fishing for Whales (War Stories from the Entrepr...
 
“A Computer Vision System for Autonomous Satellite Maneuvering,” a Presentati...
“A Computer Vision System for Autonomous Satellite Maneuvering,” a Presentati...“A Computer Vision System for Autonomous Satellite Maneuvering,” a Presentati...
“A Computer Vision System for Autonomous Satellite Maneuvering,” a Presentati...
 
“Bias in Computer Vision—It’s Bigger Than Facial Recognition!,” a Presentatio...
“Bias in Computer Vision—It’s Bigger Than Facial Recognition!,” a Presentatio...“Bias in Computer Vision—It’s Bigger Than Facial Recognition!,” a Presentatio...
“Bias in Computer Vision—It’s Bigger Than Facial Recognition!,” a Presentatio...
 
“Sensor Fusion Techniques for Accurate Perception of Objects in the Environme...
“Sensor Fusion Techniques for Accurate Perception of Objects in the Environme...“Sensor Fusion Techniques for Accurate Perception of Objects in the Environme...
“Sensor Fusion Techniques for Accurate Perception of Objects in the Environme...
 
“Updating the Edge ML Development Process,” a Presentation from Samsara
“Updating the Edge ML Development Process,” a Presentation from Samsara“Updating the Edge ML Development Process,” a Presentation from Samsara
“Updating the Edge ML Development Process,” a Presentation from Samsara
 
“Combating Bias in Production Computer Vision Systems,” a Presentation from R...
“Combating Bias in Production Computer Vision Systems,” a Presentation from R...“Combating Bias in Production Computer Vision Systems,” a Presentation from R...
“Combating Bias in Production Computer Vision Systems,” a Presentation from R...
 
“Developing an Embedded Vision AI-powered Fitness System,” a Presentation fro...
“Developing an Embedded Vision AI-powered Fitness System,” a Presentation fro...“Developing an Embedded Vision AI-powered Fitness System,” a Presentation fro...
“Developing an Embedded Vision AI-powered Fitness System,” a Presentation fro...
 
“Navigating the Evolving Venture Capital Landscape for Edge AI Start-ups,” a ...
“Navigating the Evolving Venture Capital Landscape for Edge AI Start-ups,” a ...“Navigating the Evolving Venture Capital Landscape for Edge AI Start-ups,” a ...
“Navigating the Evolving Venture Capital Landscape for Edge AI Start-ups,” a ...
 
“Advanced Presence Sensing: What It Means for the Smart Home,” a Presentation...
“Advanced Presence Sensing: What It Means for the Smart Home,” a Presentation...“Advanced Presence Sensing: What It Means for the Smart Home,” a Presentation...
“Advanced Presence Sensing: What It Means for the Smart Home,” a Presentation...
 

Último

Último (20)

How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdf
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 

“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offerings,” a Presentation from Qualcomm

  • 1. The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offering Vinesh Sukumar Sr. Director, Product Management (AI/ML) Qualcomm Technologies, Inc.
  • 2. Privacy Reliability Low latency Efficient use of network bandwidth Process data closest to the source, complement the cloud Center of Gravity Moving to the Edge... 2 Autonomy Personalization Efficiency Security Historically Increased Demand © 2022 Qualcomm Technologies, Inc.
  • 3. At Qualcomm – AI Deployed Across Various Technologies & Verticals 3 Enhance BU VERTICALS Create Superior solutions Enabling developer ecosystems MORE THAN A DECADE Of AI R&D TECHNOLOGIES QUALCOMM® AI ENGINE Software layer Hardware layer Application layer Modem Camera Video Audio Voice AR/VR Windows 11 – PC Computing Smart Camera – IoT Markets ADAS Markets © 2022 Qualcomm Technologies, Inc.
  • 5. AI Applications: Across Various Segments Expanding beyond modalities of computer vision to linguistics, communication, commerce and language understanding 5 Mobile IoT Compute Cloud Auto AI Assisted Imaging • AI 3A • Scene-based Camera Selection Image Understanding • Face Detection / Tracking / Features • Object Detection / Tracking • Body Detection / Tracking / Pose • Human Segmentation • Sky Segmentation • Multi-Class Segmentation • Depth Estimation Beautify / Augment • Scene-based Image Enhancement Image Processing • AI based NR or Image SR • Scene-based Camera Selection Audio • Real time language • Natural language processing (NLP) Modem • Parameter optimization • Robust sequence predictions Robotics • Autonomous navigation • Obstacle Avoidance • Picking and Sorting Productivity • Background based noise cancellation on Audio (inbound and outbound) • Segmentation/Blur/Super Resolution on Video • Voice activation without keywords • Face tracking • Smart photo categorization Data Centers • Natural language processing • Computer vision • Recommendation system IVI • Occupancy monitoring system (OMS) • Driver monitoring system (DMS) • Surround perception • Audio Command & Control Retail • Visitor/Face/Gesture Recognition • Object/People Detection and Counting • Barcode decoding • Empty shelf detection • Dwell time Privacy & Security • Automatic screen unlock and login • Privacy alert • Guard mode ADAS (Up to L4) • Highway driving assist • Front collision warning • lane departure, • Traffic jam assist • Auto lane change • Auto lane merge • Traffic light recognition • Construction zones • Urban autonomous driving • Parking assist • Person detection, • Perception • Valet parking • Driver monitoring Transportation • License plate recognition • Face and facial landmark detection • Drowsiness detection Content Creation & Gaming  Gaming with gesture control  Gaming with voice commands  Intelligent highlight videos  Game play improvement Edge Compute • Theft detection • Face/body/license plate detection / recognition • Image classification and segmentation Smart Devices • Object/People detection • Speaker detection • Gun shot detection Smart Buildings • People Tracking • Access Control Performance & Efficiency • Power and Screen optimization Manufacturing/Logistics • Predictive maintenance • Energy management with Asset demand Innovation centered around supporting high accuracy, latency & heterogeneity Innovation centered around energy efficiency & personalization Innovation centered around making AI relevant in PC Battery Life Latency Focused Throughput Heavy Concurrently Enabled Few examples
  • 6. Challenges of AI Applications 6 Power and thermal efficiency are essential for on-device AI Very compute intensive Large, complicated neural network models Complex concurrencies Always-on Real-time Must be thermally efficient for sleek, ultra-light designs Storage/memory bandwidth limitations Requires long battery life for all-day use Ability to drive high concurrency and utilization Lays the foundation for Qualcomm AI Hardware © 2022 Qualcomm Technologies, Inc.
  • 8. Vision: Drive Leadership Capability Across All Markets 8 Performance Scalability Co-Design Innovation Invest in performance (Inf/Sec) and Power efficiency (Inf/Sec/W) Leverage existing AI HW engines to scale across various TDP points Feature innovation to drive leadership (Datatypes, Sparsity, Streaming…) HW & SW co-design to make programmability easier (NAS, Compatibility) © 2022 Qualcomm Technologies, Inc.
  • 9. 7th Generation : Introducing Qualcomm AI Engine for All Verticals 9 © 2022 Qualcomm Technologies, Inc.
  • 10. 7th Generation : Qualcomm AI Engine 10 Large-shared memory Computational performance 2X 2X © 2022 Qualcomm Technologies, Inc.
  • 11. Scaling – Dedicated Investments in AI HW Engines 11 Investment in sparsity modules for supporting Auto ADAS usages Optimize design for sustained low power with the ability to enable high concurrency Investment in dedicated datatypes for higher performance and TCO (Total Cost of Ownership) Snapdragon is a product of Qualcomm Technologies, Inc. and/or its subsidiaries Using Mobile Design as an Anchor Point © 2022 Qualcomm Technologies, Inc.
  • 12. Performance Leadership – Using MLPerf ™ 2.0 RESNET50 2221 Inf/sec MOSAIC 752 Inf/sec BERT 101 Inf/sec 16 75W cards in one server 20 server units in one server rack Gigabyte Platform 371,473 Inf/sec 7.4+M Inf/sec Cloud AI 100 servers achieve 3.7x higher rack-level ResNet-50 inference performance than Nvidia A100 servers 8C GEN 1 achieves an average of about 26% better latency than Exynos devices across various categories Scaling from Mobile to Cloud.. Qualcomm® 8C GEN 1 Mobile Qualcomm® Cloud AI 100 Xiaomi Mi12 Platform RESNET50 Qualcomm Cloud AI 100 is a product of Qualcomm Technologies, Inc. and/or its subsidiaries. © 2022 Qualcomm Technologies, Inc. 12
  • 14. Vision: Accelerate AI Innovation and Solution Deployment 14 Performance Scalability Innovation Tools Accelerate “out of box” operator functionality and performance Ability to have programming consistency from Cloud to Edge Accelerate AI Solution deployment with investment in Tools Innovation to drive product leadership (Pre-emption, DFS, Multi Chaining) © 2022 Qualcomm Technologies, Inc.
  • 15. Qualcomm AI SW MLOps Cycle (Inception to Monitoring) 15 Data Preparation (Data labeling, datasets, feature store) Model Preparation (Training/HP-tuning, HW-aware NAS) Model Deployment (Quantization, compression, Inference) Model Monitoring (Detect drift, training- serving skew) Focus for SW Discussion Today © 2022 Qualcomm Technologies, Inc.
  • 16. AI Software Workflow 16 Choose env., config., model and framework Does the model meet performance metrics? Model Compilation/ Runner Accuracy Evaluation Does the model compile? Is the model’s accuracy acceptable? Is the model’s output & latency acceptable? Integrate model into Application or pipeline Deploy Application Train & Finetune model Add custom layers and/or fix errors Debug and fix errors Qualcomm® Neural Processing SDK Converter and Quantizer Custom Ops LLVM (C/C++) TVM (Python) AI model Efficiency Toolkit (AIMET) Formats supported Profile Model Performance Did that work? Use advanced optimization techniques Hexagon Profiler & Trace Analyzer Data Scientist ML Training Engineer ML Inference Engineer App Developer DevOps Engineer Environment Training Compilation Accuracy analysis Optimizations Integration Deployment Qualcomm® Neural Processing SDK Profilers Legend Customer chosen SW Customer ML Workflow Target Usage SNPE & Qualcomm AI Engine Direct Workflow Tools for performance & accuracy optimization © 2022 Qualcomm Technologies, Inc.
  • 17. NAS : Model Optimization Mapped to HW Intrinsics 17 Partnering with Google Vertex AI Team - Integrated into Qualcomm Software Stack Results  Note : DL architecture choices influence results STEP : 1 Space of allowable architectures (Structure, operations, connectivity) Sampling populations of good architecture candidates Estimate performance of sampled architecture Search Space Search Algorithm Evaluation Strategy How  • 8-10 % Accuracy Improvements • ~20 to 30% Latency Reduction © 2022 Qualcomm Technologies, Inc.
  • 18. AIMET : Quantize & Compress AI Models 18 Trained AI model AI Model Efficiency Toolkit (AIMET) Optimized AI model TensorFlow or PyTorch Compression Quantization Deployed AI model State of the art network compression and quantization tools for various DL architectures (CNN, BERT, GAN’s..) Automated way of enabling reduction in precision of weights and activation while maintaining accuracy Original Image FP16 Segmented Map Quantized Segmented Map <0.5% Drop in Accuracy (Across various DL Models) -> Using QAT and PTQ techniques from AIMET Results  Why  STEP : 2
  • 19. Your–NN-Framework Training .onnx .onnx .onnx .onnx .onnx .onnx .pb CPU Qualcomm Neural Network Library QML NEON KERNELS KERNELS eAI Open CL Open CL Performance Qualcomm® Neural Processing SDK ONNXRT PT Mobile TF- Lite NNAPI TF-Lite µ GPU Hexagon Processor Qualcomm Sensing Hub General purpose compute engines AI engines Profiler Debugger Visualizer Compilers Scalability Runtime framework Low level Library AI Engine On-Device Execution/Inference Run Time: Qualcomm AI Software Stack for Performance and Scalability Support - Application Deployment STEP : 3 19
  • 20. • Meaningful improvements after initial chip shipment ; achieved through software investment / innovation • Consequences - • Additional gains across the entire SoC portfolio for downstream chips and adjacent BUs from a common investment • Platform software that can be delivered incrementally to already released products can continue to improve • Improvements directly leveraged into next generation chips • Improvements in current generation can lead to relative performance gap compression with next-gen devices 0 200 400 600 800 1000 1200 1400 1600 1800 2000 Tech Day MLPerf 1.0 MLPerf 1.1 Tech Day MLPerf 1.0 MLPerf 1.1 Tech Day MLPerf 1.0 MLPerf 1.1 MobilenetEdgeTPU DeeplabV3+ MobilenetEdgeTPU - Offline Premium Mobile MLPerf Improvements 0 200000 400000 600000 800000 1000000 1200000 Dec-20 May-21 Dec-20 May-21 Oct-20 Dec-20 AIMark AITutu AIB4 Premium Mobile – Other Benchmark Improvements 0 50 100 150 200 250 300 350 400 450 500 ML Perf 1.0 ML Perf 1.1 Resnet34-SSD Data Center- Improvement for Resnet34-SSD STEP : 4 Continuous Improvements in SW Stack - For Performance Leadership 20 © 2022 Qualcomm Technologies, Inc.
  • 22. • AI Applications expanding beyond modalities of computer vision to linguistics, communication, commerce and language understanding • With evolution of AI Applications across many verticals, continued push for innovation around latency, heterogeneity, concurrency and user personalization • This is putting a lot of emphasis for the need of custom HW modules in several BU verticals in Qualcomm • Qualcomm silicon continues to show leadership in performance and energy efficiency in industry leading benchmarks • High investment in software continues to accelerate AI solution deployment across all verticals Conclusion 22 © 2022 Qualcomm Technologies, Inc.
  • 23. Resources 23 2022 Embedded Vision Summit • “Powering the Intelligent Connected Edge and the Future of On-Device AI” Ziad Asghar May 18 9:30 - 10:00 AM PT • “A Practical Guide to Getting the DNN Accuracy You Need and the Performance You Deserve” Felix Baum May 18 2:40 - 3:10 PM PT • “Tools for Creating Next-Gen Computer Vision Apps on Snapdragon” Judd Heape May 18 10:50 - 11:20 AM PT • “Seamless Deployment of Multimedia and Machine Learning Applications at the Edge” Megha Daga May 17 2:40 - 3:10 PM PT Qualcomm Mobile AI Mobile AI | On-Device AI | Qualcomm® Qualcomm & Google NAS Qualcomm Technologies and Google Cloud Announce Collaboration on Neural Architecture Search for the Connected Intelligent Edge | Qualcomm Vinesh Sukumar Senior Director, Product Management – AI/ML vinesuku@qti.qualcomm.com © 2022 Qualcomm Technologies, Inc.