SlideShare una empresa de Scribd logo
1 de 4
Descargar para leer sin conexión
Poster Paper
Proc. of Int. Conf. on Advances in Signal Processing and Communication 2013

Fault Modeling for Verilog Register Transfer Level
1

Namita Palecha, 2Poornima M and 3Suma M S
1, 3

ECE Department, RVCE, Bangalore, India
ECE Department, MVJCE, Bangalore, India
namitapalecha@rvce.edu.in; poorni_m@rediffmail.com; sumams@rvce.edu.in
2

description language such as Verilog. This paper also
compares the RTL level and gate level circuits, in terms of
fault coverage, test patterns and area.
Section 2 gives a brief background of testing at RTL.
Section 3 describes the fault modeling. The proposed test
methodology is given in Section 4. Section 5 provides the
results. Finally Section 6 concludes the paper.

Abstract – As the complexity of Very Large Scale Integration
(VLSI) increases, testing becomes tedious. Currently fault
models are used to test digital circuits at gate level or at levels
lower than gate. Modeling faults at these levels, leads to
increase in the design cycle time period. Hence, there is a
need to explore new approaches for modeling faults at higher
levels. This paper proposes fault modeling at the Register
Transfer Level (RTL) for digital circuits. Using this level of
modeling, results are obtained for fault coverage, area and
test patterns. A software prototype, FEVER, has been developed
in C which reads a RTL description and generates two output
files: one a modified RTL with test features and two a file
consisting of set of test patterns. These modified RTL and test
patterns are further used for fault simulation and fault
coverage analysis. Comparison is performed between the RTL
and Gate level modeling for ISCAS benchmarks and the
results of the same are presented. Results are obtained using
Synopsys, TetraMax and it is shown that it is possible to achieve
100% fault coverage with no area overhead at the RTL level.

II. BACKGROUND
Several researchers have been exploring fault modeling
and testing at the RTL level [4, 5, 6, 7, 8, 9]. The fault model
proposed by [4, 5] focused on Observability Enhanced
Statement Coverage Metric to model faults. In addition to
this metric [4] also uses single stuck at fault on all assignments
targets of the executed statements. However, this fault model
still does not cover all the faults associated with RTL
description. In [5] the model requires that all statements in
the RTL description are executed at least once and that their
effects are propagated to at least one primary output. While
this approach can be effectively exploited for the test pattern
generation, more accurate results are needed for fault
modeling.
In [6], the single stuck at faults are mapped to the
behavioral domain. A functional analysis technique is used
to evaluate the effects of the single stuck-line faults on gatelevel implementations. The authors in [6] concluded that
modeling all possible gate-level faults at the RTL is highly
inefficient.
The RTL fault model and simulation approach proposed
by [7] uses the single stuck-at fault for each bit of all variables
in the RTL model. The model employs both the RTL description
and functional verification patterns. The drawback of this
approach is, it required one to run fault simulation twice; first
in an optimistic mode and then in the pessimistic mode and to
use the average of the results to reduce the difference between
the RTL and the gate-level fault coverage. The experimental
data shows that there is 10% error between the actual gatelevel fault coverage and the RTL fault coverage.
In [8], probabilistic method for controllability evaluation
based on a traitorously selection of registers to form groups
is proposed. This work needs further optimization by
computing the probabilistic impact of the simultaneous
correction of different testability problems.
The fault model proposed by [2] focuses on fault modeling
and simulation at RTL level and aims at exploring the
capabilities of the stuck-at fault model in computing the fault
coverage at RTL level. In this approach, for every conditional
operation in the behavioral verilog, an error circuit is

Keywords - fault coverage, fault models, fault simulation, stuckat fault, RTL.

I. INTRODUCTION
Rapid advances in technology are resulting in increasing
the complexity of VLSI circuits. Performance, area, power and
testing are the important attributes of any complex VLSI
circuit. These attributes are directly affected with increase in
the complexity of the circuits. This paper concentrates on
only one of these attributes, i.e., testing.
Test generation is a process of finding input test patterns
for detecting possible faults in the circuit. It is difficult to
generate tests for real defects due to the diversity of VLSI
defects. For generating and evaluating a set of test patterns,
fault models are needed. Existing gate level fault modeling
and fault simulation techniques exhibit poor performance
standards when applied to such VLSI circuits and are
unsuitable for early testability analysis or fault simulations
[1]. These test generation and fault simulation efforts in the
post synthesis phase do not contribute to the improvement
in the design. Therefore, modeling faults and performing fault
simulation at a higher level of abstraction is highly desirable.
Many high-level fault models and fault simulation
techniques have been proposed [2]. However, none of the
fault models are universally acceptable since no fault model
has been developed so far that comprehensively covers all
classes of faults in the circuits.
This paper explores fault modeling, fault simulation and
test generation at the RTL level. The RTL description is at a
higher level of abstraction and is described using a hardware
© 2013 ACEEE
DOI: 03.LSCS.2013.3.17

80
Poster Paper
Proc. of Int. Conf. on Advances in Signal Processing and Communication 2013
generated. For example if the verilog code has 3 conditional
operators such as ==,! =, >=, then three error behavioral codes
are generated and then each one is simulated. The results of
this simulation are checked with the good simulation, to get
the “detected” or “not detected” status of the fault. Though
the approach provides 100% fault coverage, it is time
consuming to generate error circuits for each condition and
also for simulating. Furthermore, [2] does not consider stuck
at faults in single bit values. The main focus of the current
paper is to consider faults at all the ports. There is no need to
generate error circuit for every condition hence reducing the
fault simulation time.

module mux2_to_1 (s,a,b,y);
input s;
input a,b;
output y;
reg y;
always @(*)
if(s) y=a;
else y=b;
endmodule

III. FAULT MODELING AND SIMULATION AT RTL

Figure1. RTL description of 2_to_1 Multiplexer

Digital circuits are commonly designed at multiple levels
of abstraction, including the layout, transistor, gate, registertransfer (RTL) and behavioral levels. Designers describe
circuits in a hierarchical, top-down fashion, typically using
computer-aided design (CAD) tools. To simplify the design
process, designers try to model circuits at a fairly abstract
level. Conventional gate-level implementation is hard to
understand, i.e., poor readability. By modeling circuits at a
higher level, the number of primitive elements in a circuit is
reduced, thus making the problem size more tractable. This
allows larger circuits to be handled in less time. The authors
of [8] conclude that over 1000 times reduction in testgeneration time is achievable by performing automatic test
pattern generation (ATPG) at the RTL without any
compromise in fault coverage.
Fault coverage is the total number of faults that are
detected by using a set of test patterns. A variety of defects
in a circuit; results in different types of faults. These types of
faults are segregated to form various fault models. Fault
models are defined at all the different levels of abstraction.
Fault models are necessary for generating and evaluating a
set of test patterns. A good fault model must provide the true
behavior of the circuit in presence of all types of fault.
Achieving such a model is difficult; hence a combination of
different fault model is usually used in fault simulation and
test pattern generation. This paper proposes to use single
stuck at fault model at input and output ports of the RTL.
Fault simulation and test pattern generation is done for all
these faults at RTL. This RTL fault model is further mapped to
the lower gate level.
In a single stuck at fault model in logic gate, either one
of the inputs or outputs is stuck at logic0 (s-a-0) or logic1 (sa-1). For a circuit with k input and output ports there can be
2k stuck-at faults and if n represents the number of inputs
then the total test patterns required for detecting all the 2k
faults is 2n.
Lets consider an example of 2_to_1 multiplexer, the RTL
description of which is shown in Figure1.
The fault model is considered at the RTL. Faults are considered at the input and the output ports. Buffers are inserted for each input and output port in the RTL code. The
buffers inserted in the fault free circuit, should not disturb
© 2013 ACEEE
DOI: 03.LSCS.2013.3.17

the functionality of the circuit. This insertion results in modified RTL, which can be treated as a faulty code for fault simulation.
Test patterns are generated to perform fault simulation
for both the fault free and faulty module. Then the final fault
coverage results are obtained. Fault coverage is the ratio of
number of faults detected to the total number of faults in the
module.
IV. METHODOLOGY
The proposed fault simulation and test patterns generation flow at RTL is compared with the standard approach at
gate level. This is depicted in Figure 2.The path on the left is
the proposed RTL flow and that on the right is the standard
gate level flow. In order to access the inputs and outputs in
the RTL, buffers are inserted at each port. These buffers do
not affect the functionality of the circuit. The modified (faulty)
verilog code is further used for analysis of fault coverage
and test pattern generation. In the MUX example, let’s assume input ‘a’ is stuck at 0. In order to detect this fault test
pattern ‘110" is applied at the inputs. The expected output at
‘y’ is ‘1’. However in presence of stuck at 0 fault output ‘y’
will be at logic 0.
Methodology of inserting buffers in the proposed
approach has been automated and a prototype has been
developed in C, FEVER (Fault modEling for VErilog RTL).
FEVER reads an RTL verilog description, parses it and
generates the faulty RTL verilog code. The faulty code is
then used by the prototype FEVER to generate the test
patterns. An algorithm has been developed to reduce the
number of test pattern, which in turn will aid in decreasing
the test time. These reduced pattern set is then used to
simulate and obtain the final fault coverage at RTL. The fault
free RTL is used to obtain the final gate level netlist. In order
to obtain the fault coverage for this netlist standard scan
insertion is used. Fault simulation is performed on fault free
and faulty circuit at both RTL and gate level. The output of
the bad module is compared to that of good module to find
the fault coverage.

81
Poster Paper
Proc. of Int. Conf. on Advances in Signal Processing and Communication 2013
zero delay buffers, hence not affecting the area. When synthesized using different technology libraries (TSMC-65nm,
TSMC-180nm) these zero delay buffers are mapped to wires.
VI. CONCLUSION
With the progress of semiconductor technology testing
of VLSI circuits is not easy. Fault simulation at gate or lower
level, done after synthesis does not help in improving the
circuit design. This would result in increasing the time and
cost for redesigning the circuit. This paper introduces hence
testing circuits at higher level. With the proposed approach
RTL designers can have an estimation of the fault coverage
before doing synthesis and also it is possible for the designer to locate faults at a higher level of abstraction. A prototype in C, FEVER has been developed to insert the DFT
and also generate the test patterns. This prototype has been
verified on ISCAS benchmark circuits. Future exploration involves detecting fault coverage at the gate level using the
test patterns generated at RTL.

Figure.2. Design flow with the proposed method

V. RESULTS
The proposed approach has been verified on the standard ISCAS benchmarks. FEVER was used to generate the
faulty RTL and the corresponding test patterns. These patterns were further reduced using an algorithm and a test
module written in verilog. This test module is used to obtain
the fault coverage at RTL. The RTL code is synthesized to
obtain final netlist using Synopsys Design Compiler. The
netlist is mapped to the TSMC-65nm technology library.
ModelSim and TetraMax are used to simulate and obtain fault
coverage for both RTL and Gate level. The results of RTL and
gate level are tabulated in Table1. Column1 are the ISCAS
benchmarks. Column 2 and 3 give the total number of faults
and reduce test patterns at RTL. The same figures for corresponding gate level are given in column 4 and 5 respectively.
The last two columns depict the fault coverage at RTL and
gate level. Figure 3 shows that number of faults and test
patterns at RTL is significantly less compared to faults at
gate level. Faults at RTL can be 100% mapped to gate level
but the reverse is not possible [1]. Introducing DFT usually
results in increase in area overhead. The results for this at
RTL for ISCAS are given in Table 2. Inserting DFT at RTL
does not impact the area as shown in columns 2 and 3 of
Table 2. These DFT features after synthesis are mapped to

Figure.3 RTL and gate level Faults and test patterns comparison
TABLE II. R ESULTANT AREA

Benchm
arks
74283
74182
7485

RTLA (nm
rea )
W
ithout D
FT
W DFT
ith
50.4
50.4
56.16
56.16
56.2
56.2

REFERENCES
[1] Suma M S, K.S. Gurumurthy “ Fault Modeling of Digital
Circuits at RTL” at International Conference on Circuits,
System and Simulation (ICCSS), 2011.
[2] Karunaratne, Sagahyroon, Prodhuturi,”RTL Fault
Modeling”IEEE Circuits and Systems August, 2005.
[3] J.Bhaskar, “Verilog HDL Synthesis, A Practical Primer”,
BSPublications, 2004.

TABLE I. RTL VERSUS GATE-LEVEL FAULT COVERAGE
Benchmarks

Total number of
faults at RTL

Test patterns at
RTL

74283
74182
7485

28
28
28

6
10
10

© 2013 ACEEE
DOI: 03.LSCS.2013.3.17

Total numbers of
faults at the gatelevel
100
56
120

82

Test patterns at
the scan inserted
gate-level net list
15
15
17

RTL fault
coverage

Gate-level fault
coverage

100%
100%
100%

100%
100%
100%
Poster Paper
Proc. of Int. Conf. on Advances in Signal Processing and Communication 2013
[4] F.Corno, G.Cumani,M.Souxa Reorda,G.Squillero,”An RT-level
Fault Model with High Gate Level Correlation “Proceedings
of the IEEE International High_Level Design Validation Test
Workshop.
[5] Ronald J Hayne and Barry W.Johnson,”Behavioral Fault
Modeling in a VHDL Synthesis Environment”, IEEE VLSI
Test Symposium, 1999.
[6] Weiwei Mao, Ravi K Gulati,”Improving Gate Level Fault
Coverage by RTL Fault Grading”, IEEE Proceedings of the
International Test Conference, 1996.
[7] Devadas, A.Ghosh, K.Keuter,”An Observability-Based Code
Coverage Metric for Functional Simulation”Proceedings of
IEEE/ACM International Conference on Computer Aided
Design, 1996.

© 2013 ACEEE
DOI: 03.LSCS.2013.3.17

[8] Jose
M.Fernandes,Marcelino
B.Santos,Arlindo
L.Oliveira,Joao C.Teixeira,”IEEE International High Level
Design and Test Workshop,2006.
[9] L.T.Wang, C.W.Wu, X.Wen,”VLSI Test Principles and
Architectures, “Morgan Kaufmann Publishers, 2006.
[10] Chen C.H,Noh T.H,”VHDL behavioral ATPG and fault
simulation of digital systems,”IEEE transactions Aerospace
and Electronic Systems,April 1998,pp 430-447.
[11] P.Goel, “Test Generation Cost Analysis and Projections,”
Design Automation Conference, 1980.
[12] Himanshu Bhatnagar,” Advanced ASIC Chip Synthesis
“Kluwer Academic Publishers, 2000.
[13] P.K.Lala,”Digital Circuit Testing and Testability, “Academic
Press, 1997.

83

Más contenido relacionado

La actualidad más candente

Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
Nirav Desai
 

La actualidad más candente (18)

Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
 
Open Problems in Automatically Refactoring Legacy Java Software to use New Fe...
Open Problems in Automatically Refactoring Legacy Java Software to use New Fe...Open Problems in Automatically Refactoring Legacy Java Software to use New Fe...
Open Problems in Automatically Refactoring Legacy Java Software to use New Fe...
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
 
Sta unit 2(abimanyu)
Sta unit 2(abimanyu)Sta unit 2(abimanyu)
Sta unit 2(abimanyu)
 
system verilog
system verilogsystem verilog
system verilog
 
Dill may-2008
Dill may-2008Dill may-2008
Dill may-2008
 
Design for Testability
Design for TestabilityDesign for Testability
Design for Testability
 
Secure application programming in the presence of side channel attacks
Secure application programming in the presence of side channel attacksSecure application programming in the presence of side channel attacks
Secure application programming in the presence of side channel attacks
 
Computational REST Meets Erlang
Computational REST Meets ErlangComputational REST Meets Erlang
Computational REST Meets Erlang
 
Bt34433436
Bt34433436Bt34433436
Bt34433436
 
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...
 
Unit 3 Control Flow Testing
Unit 3   Control Flow TestingUnit 3   Control Flow Testing
Unit 3 Control Flow Testing
 
Unit1
Unit1Unit1
Unit1
 
Using Mutation in Fault Localization
Using Mutation in Fault Localization Using Mutation in Fault Localization
Using Mutation in Fault Localization
 
Sta unit 4(abimanyu)
Sta unit 4(abimanyu)Sta unit 4(abimanyu)
Sta unit 4(abimanyu)
 
Code coverage
Code coverageCode coverage
Code coverage
 
Tools and techniques of code coverage testing
Tools and techniques of code coverage testingTools and techniques of code coverage testing
Tools and techniques of code coverage testing
 
Sta unit 3(abimanyu)
Sta unit 3(abimanyu)Sta unit 3(abimanyu)
Sta unit 3(abimanyu)
 

Similar a Fault Modeling for Verilog Register Transfer Level

A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
Robert O. Peruzzi, PhD, PE, DFE
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
IJERA Editor
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Régis SANTONJA
 
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Lionel Briand
 

Similar a Fault Modeling for Verilog Register Transfer Level (20)

Fault Modeling of Combinational and Sequential Circuits at Register Transfer ...
Fault Modeling of Combinational and Sequential Circuits at Register Transfer ...Fault Modeling of Combinational and Sequential Circuits at Register Transfer ...
Fault Modeling of Combinational and Sequential Circuits at Register Transfer ...
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
Coding Schemes for Implementation of Fault Tolerant Parrallel Filter
Coding Schemes for Implementation of Fault Tolerant Parrallel FilterCoding Schemes for Implementation of Fault Tolerant Parrallel Filter
Coding Schemes for Implementation of Fault Tolerant Parrallel Filter
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
680report final
680report final680report final
680report final
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designs
 
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming code
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
 
Gate-Level Simulation Methodology Improving Gate-Level Simulation Performance
Gate-Level Simulation Methodology Improving Gate-Level Simulation PerformanceGate-Level Simulation Methodology Improving Gate-Level Simulation Performance
Gate-Level Simulation Methodology Improving Gate-Level Simulation Performance
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
 
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
 
FAULT DETECTION IN FIVE BUS SYSTEM USING MATLAB & SIMULINK (DISCRETE WAVELET ...
FAULT DETECTION IN FIVE BUS SYSTEM USING MATLAB & SIMULINK (DISCRETE WAVELET ...FAULT DETECTION IN FIVE BUS SYSTEM USING MATLAB & SIMULINK (DISCRETE WAVELET ...
FAULT DETECTION IN FIVE BUS SYSTEM USING MATLAB & SIMULINK (DISCRETE WAVELET ...
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
A General Framework for Electronic Circuit Verification
A General Framework for Electronic Circuit VerificationA General Framework for Electronic Circuit Verification
A General Framework for Electronic Circuit Verification
 
Functional Verification of Large-integers Circuits using a Cosimulation-base...
Functional Verification of Large-integers Circuits using a  Cosimulation-base...Functional Verification of Large-integers Circuits using a  Cosimulation-base...
Functional Verification of Large-integers Circuits using a Cosimulation-base...
 
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
 
Design and Implementation of Test Vector Generation using Random Forest Techn...
Design and Implementation of Test Vector Generation using Random Forest Techn...Design and Implementation of Test Vector Generation using Random Forest Techn...
Design and Implementation of Test Vector Generation using Random Forest Techn...
 
Advanced atpg based on fan, testability measures and fault reduction
Advanced atpg based on fan, testability measures and fault reductionAdvanced atpg based on fan, testability measures and fault reduction
Advanced atpg based on fan, testability measures and fault reduction
 

Más de idescitation

65 113-121
65 113-12165 113-121
65 113-121
idescitation
 
74 136-143
74 136-14374 136-143
74 136-143
idescitation
 
84 11-21
84 11-2184 11-21
84 11-21
idescitation
 
29 88-96
29 88-9629 88-96
29 88-96
idescitation
 

Más de idescitation (20)

65 113-121
65 113-12165 113-121
65 113-121
 
69 122-128
69 122-12869 122-128
69 122-128
 
71 338-347
71 338-34771 338-347
71 338-347
 
72 129-135
72 129-13572 129-135
72 129-135
 
74 136-143
74 136-14374 136-143
74 136-143
 
80 152-157
80 152-15780 152-157
80 152-157
 
82 348-355
82 348-35582 348-355
82 348-355
 
84 11-21
84 11-2184 11-21
84 11-21
 
62 328-337
62 328-33762 328-337
62 328-337
 
46 102-112
46 102-11246 102-112
46 102-112
 
47 292-298
47 292-29847 292-298
47 292-298
 
49 299-305
49 299-30549 299-305
49 299-305
 
57 306-311
57 306-31157 306-311
57 306-311
 
60 312-318
60 312-31860 312-318
60 312-318
 
5 1-10
5 1-105 1-10
5 1-10
 
11 69-81
11 69-8111 69-81
11 69-81
 
14 284-291
14 284-29114 284-291
14 284-291
 
15 82-87
15 82-8715 82-87
15 82-87
 
29 88-96
29 88-9629 88-96
29 88-96
 
43 97-101
43 97-10143 97-101
43 97-101
 

Último

The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
heathfieldcps1
 
Gardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterGardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch Letter
MateoGardella
 
Gardella_Mateo_IntellectualProperty.pdf.
Gardella_Mateo_IntellectualProperty.pdf.Gardella_Mateo_IntellectualProperty.pdf.
Gardella_Mateo_IntellectualProperty.pdf.
MateoGardella
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
negromaestrong
 

Último (20)

Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
fourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingfourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writing
 
Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptx
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
 
Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SD
 
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Gardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterGardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch Letter
 
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdf
 
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptxINDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
 
Gardella_Mateo_IntellectualProperty.pdf.
Gardella_Mateo_IntellectualProperty.pdf.Gardella_Mateo_IntellectualProperty.pdf.
Gardella_Mateo_IntellectualProperty.pdf.
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
 
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
 

Fault Modeling for Verilog Register Transfer Level

  • 1. Poster Paper Proc. of Int. Conf. on Advances in Signal Processing and Communication 2013 Fault Modeling for Verilog Register Transfer Level 1 Namita Palecha, 2Poornima M and 3Suma M S 1, 3 ECE Department, RVCE, Bangalore, India ECE Department, MVJCE, Bangalore, India namitapalecha@rvce.edu.in; poorni_m@rediffmail.com; sumams@rvce.edu.in 2 description language such as Verilog. This paper also compares the RTL level and gate level circuits, in terms of fault coverage, test patterns and area. Section 2 gives a brief background of testing at RTL. Section 3 describes the fault modeling. The proposed test methodology is given in Section 4. Section 5 provides the results. Finally Section 6 concludes the paper. Abstract – As the complexity of Very Large Scale Integration (VLSI) increases, testing becomes tedious. Currently fault models are used to test digital circuits at gate level or at levels lower than gate. Modeling faults at these levels, leads to increase in the design cycle time period. Hence, there is a need to explore new approaches for modeling faults at higher levels. This paper proposes fault modeling at the Register Transfer Level (RTL) for digital circuits. Using this level of modeling, results are obtained for fault coverage, area and test patterns. A software prototype, FEVER, has been developed in C which reads a RTL description and generates two output files: one a modified RTL with test features and two a file consisting of set of test patterns. These modified RTL and test patterns are further used for fault simulation and fault coverage analysis. Comparison is performed between the RTL and Gate level modeling for ISCAS benchmarks and the results of the same are presented. Results are obtained using Synopsys, TetraMax and it is shown that it is possible to achieve 100% fault coverage with no area overhead at the RTL level. II. BACKGROUND Several researchers have been exploring fault modeling and testing at the RTL level [4, 5, 6, 7, 8, 9]. The fault model proposed by [4, 5] focused on Observability Enhanced Statement Coverage Metric to model faults. In addition to this metric [4] also uses single stuck at fault on all assignments targets of the executed statements. However, this fault model still does not cover all the faults associated with RTL description. In [5] the model requires that all statements in the RTL description are executed at least once and that their effects are propagated to at least one primary output. While this approach can be effectively exploited for the test pattern generation, more accurate results are needed for fault modeling. In [6], the single stuck at faults are mapped to the behavioral domain. A functional analysis technique is used to evaluate the effects of the single stuck-line faults on gatelevel implementations. The authors in [6] concluded that modeling all possible gate-level faults at the RTL is highly inefficient. The RTL fault model and simulation approach proposed by [7] uses the single stuck-at fault for each bit of all variables in the RTL model. The model employs both the RTL description and functional verification patterns. The drawback of this approach is, it required one to run fault simulation twice; first in an optimistic mode and then in the pessimistic mode and to use the average of the results to reduce the difference between the RTL and the gate-level fault coverage. The experimental data shows that there is 10% error between the actual gatelevel fault coverage and the RTL fault coverage. In [8], probabilistic method for controllability evaluation based on a traitorously selection of registers to form groups is proposed. This work needs further optimization by computing the probabilistic impact of the simultaneous correction of different testability problems. The fault model proposed by [2] focuses on fault modeling and simulation at RTL level and aims at exploring the capabilities of the stuck-at fault model in computing the fault coverage at RTL level. In this approach, for every conditional operation in the behavioral verilog, an error circuit is Keywords - fault coverage, fault models, fault simulation, stuckat fault, RTL. I. INTRODUCTION Rapid advances in technology are resulting in increasing the complexity of VLSI circuits. Performance, area, power and testing are the important attributes of any complex VLSI circuit. These attributes are directly affected with increase in the complexity of the circuits. This paper concentrates on only one of these attributes, i.e., testing. Test generation is a process of finding input test patterns for detecting possible faults in the circuit. It is difficult to generate tests for real defects due to the diversity of VLSI defects. For generating and evaluating a set of test patterns, fault models are needed. Existing gate level fault modeling and fault simulation techniques exhibit poor performance standards when applied to such VLSI circuits and are unsuitable for early testability analysis or fault simulations [1]. These test generation and fault simulation efforts in the post synthesis phase do not contribute to the improvement in the design. Therefore, modeling faults and performing fault simulation at a higher level of abstraction is highly desirable. Many high-level fault models and fault simulation techniques have been proposed [2]. However, none of the fault models are universally acceptable since no fault model has been developed so far that comprehensively covers all classes of faults in the circuits. This paper explores fault modeling, fault simulation and test generation at the RTL level. The RTL description is at a higher level of abstraction and is described using a hardware © 2013 ACEEE DOI: 03.LSCS.2013.3.17 80
  • 2. Poster Paper Proc. of Int. Conf. on Advances in Signal Processing and Communication 2013 generated. For example if the verilog code has 3 conditional operators such as ==,! =, >=, then three error behavioral codes are generated and then each one is simulated. The results of this simulation are checked with the good simulation, to get the “detected” or “not detected” status of the fault. Though the approach provides 100% fault coverage, it is time consuming to generate error circuits for each condition and also for simulating. Furthermore, [2] does not consider stuck at faults in single bit values. The main focus of the current paper is to consider faults at all the ports. There is no need to generate error circuit for every condition hence reducing the fault simulation time. module mux2_to_1 (s,a,b,y); input s; input a,b; output y; reg y; always @(*) if(s) y=a; else y=b; endmodule III. FAULT MODELING AND SIMULATION AT RTL Figure1. RTL description of 2_to_1 Multiplexer Digital circuits are commonly designed at multiple levels of abstraction, including the layout, transistor, gate, registertransfer (RTL) and behavioral levels. Designers describe circuits in a hierarchical, top-down fashion, typically using computer-aided design (CAD) tools. To simplify the design process, designers try to model circuits at a fairly abstract level. Conventional gate-level implementation is hard to understand, i.e., poor readability. By modeling circuits at a higher level, the number of primitive elements in a circuit is reduced, thus making the problem size more tractable. This allows larger circuits to be handled in less time. The authors of [8] conclude that over 1000 times reduction in testgeneration time is achievable by performing automatic test pattern generation (ATPG) at the RTL without any compromise in fault coverage. Fault coverage is the total number of faults that are detected by using a set of test patterns. A variety of defects in a circuit; results in different types of faults. These types of faults are segregated to form various fault models. Fault models are defined at all the different levels of abstraction. Fault models are necessary for generating and evaluating a set of test patterns. A good fault model must provide the true behavior of the circuit in presence of all types of fault. Achieving such a model is difficult; hence a combination of different fault model is usually used in fault simulation and test pattern generation. This paper proposes to use single stuck at fault model at input and output ports of the RTL. Fault simulation and test pattern generation is done for all these faults at RTL. This RTL fault model is further mapped to the lower gate level. In a single stuck at fault model in logic gate, either one of the inputs or outputs is stuck at logic0 (s-a-0) or logic1 (sa-1). For a circuit with k input and output ports there can be 2k stuck-at faults and if n represents the number of inputs then the total test patterns required for detecting all the 2k faults is 2n. Lets consider an example of 2_to_1 multiplexer, the RTL description of which is shown in Figure1. The fault model is considered at the RTL. Faults are considered at the input and the output ports. Buffers are inserted for each input and output port in the RTL code. The buffers inserted in the fault free circuit, should not disturb © 2013 ACEEE DOI: 03.LSCS.2013.3.17 the functionality of the circuit. This insertion results in modified RTL, which can be treated as a faulty code for fault simulation. Test patterns are generated to perform fault simulation for both the fault free and faulty module. Then the final fault coverage results are obtained. Fault coverage is the ratio of number of faults detected to the total number of faults in the module. IV. METHODOLOGY The proposed fault simulation and test patterns generation flow at RTL is compared with the standard approach at gate level. This is depicted in Figure 2.The path on the left is the proposed RTL flow and that on the right is the standard gate level flow. In order to access the inputs and outputs in the RTL, buffers are inserted at each port. These buffers do not affect the functionality of the circuit. The modified (faulty) verilog code is further used for analysis of fault coverage and test pattern generation. In the MUX example, let’s assume input ‘a’ is stuck at 0. In order to detect this fault test pattern ‘110" is applied at the inputs. The expected output at ‘y’ is ‘1’. However in presence of stuck at 0 fault output ‘y’ will be at logic 0. Methodology of inserting buffers in the proposed approach has been automated and a prototype has been developed in C, FEVER (Fault modEling for VErilog RTL). FEVER reads an RTL verilog description, parses it and generates the faulty RTL verilog code. The faulty code is then used by the prototype FEVER to generate the test patterns. An algorithm has been developed to reduce the number of test pattern, which in turn will aid in decreasing the test time. These reduced pattern set is then used to simulate and obtain the final fault coverage at RTL. The fault free RTL is used to obtain the final gate level netlist. In order to obtain the fault coverage for this netlist standard scan insertion is used. Fault simulation is performed on fault free and faulty circuit at both RTL and gate level. The output of the bad module is compared to that of good module to find the fault coverage. 81
  • 3. Poster Paper Proc. of Int. Conf. on Advances in Signal Processing and Communication 2013 zero delay buffers, hence not affecting the area. When synthesized using different technology libraries (TSMC-65nm, TSMC-180nm) these zero delay buffers are mapped to wires. VI. CONCLUSION With the progress of semiconductor technology testing of VLSI circuits is not easy. Fault simulation at gate or lower level, done after synthesis does not help in improving the circuit design. This would result in increasing the time and cost for redesigning the circuit. This paper introduces hence testing circuits at higher level. With the proposed approach RTL designers can have an estimation of the fault coverage before doing synthesis and also it is possible for the designer to locate faults at a higher level of abstraction. A prototype in C, FEVER has been developed to insert the DFT and also generate the test patterns. This prototype has been verified on ISCAS benchmark circuits. Future exploration involves detecting fault coverage at the gate level using the test patterns generated at RTL. Figure.2. Design flow with the proposed method V. RESULTS The proposed approach has been verified on the standard ISCAS benchmarks. FEVER was used to generate the faulty RTL and the corresponding test patterns. These patterns were further reduced using an algorithm and a test module written in verilog. This test module is used to obtain the fault coverage at RTL. The RTL code is synthesized to obtain final netlist using Synopsys Design Compiler. The netlist is mapped to the TSMC-65nm technology library. ModelSim and TetraMax are used to simulate and obtain fault coverage for both RTL and Gate level. The results of RTL and gate level are tabulated in Table1. Column1 are the ISCAS benchmarks. Column 2 and 3 give the total number of faults and reduce test patterns at RTL. The same figures for corresponding gate level are given in column 4 and 5 respectively. The last two columns depict the fault coverage at RTL and gate level. Figure 3 shows that number of faults and test patterns at RTL is significantly less compared to faults at gate level. Faults at RTL can be 100% mapped to gate level but the reverse is not possible [1]. Introducing DFT usually results in increase in area overhead. The results for this at RTL for ISCAS are given in Table 2. Inserting DFT at RTL does not impact the area as shown in columns 2 and 3 of Table 2. These DFT features after synthesis are mapped to Figure.3 RTL and gate level Faults and test patterns comparison TABLE II. R ESULTANT AREA Benchm arks 74283 74182 7485 RTLA (nm rea ) W ithout D FT W DFT ith 50.4 50.4 56.16 56.16 56.2 56.2 REFERENCES [1] Suma M S, K.S. Gurumurthy “ Fault Modeling of Digital Circuits at RTL” at International Conference on Circuits, System and Simulation (ICCSS), 2011. [2] Karunaratne, Sagahyroon, Prodhuturi,”RTL Fault Modeling”IEEE Circuits and Systems August, 2005. [3] J.Bhaskar, “Verilog HDL Synthesis, A Practical Primer”, BSPublications, 2004. TABLE I. RTL VERSUS GATE-LEVEL FAULT COVERAGE Benchmarks Total number of faults at RTL Test patterns at RTL 74283 74182 7485 28 28 28 6 10 10 © 2013 ACEEE DOI: 03.LSCS.2013.3.17 Total numbers of faults at the gatelevel 100 56 120 82 Test patterns at the scan inserted gate-level net list 15 15 17 RTL fault coverage Gate-level fault coverage 100% 100% 100% 100% 100% 100%
  • 4. Poster Paper Proc. of Int. Conf. on Advances in Signal Processing and Communication 2013 [4] F.Corno, G.Cumani,M.Souxa Reorda,G.Squillero,”An RT-level Fault Model with High Gate Level Correlation “Proceedings of the IEEE International High_Level Design Validation Test Workshop. [5] Ronald J Hayne and Barry W.Johnson,”Behavioral Fault Modeling in a VHDL Synthesis Environment”, IEEE VLSI Test Symposium, 1999. [6] Weiwei Mao, Ravi K Gulati,”Improving Gate Level Fault Coverage by RTL Fault Grading”, IEEE Proceedings of the International Test Conference, 1996. [7] Devadas, A.Ghosh, K.Keuter,”An Observability-Based Code Coverage Metric for Functional Simulation”Proceedings of IEEE/ACM International Conference on Computer Aided Design, 1996. © 2013 ACEEE DOI: 03.LSCS.2013.3.17 [8] Jose M.Fernandes,Marcelino B.Santos,Arlindo L.Oliveira,Joao C.Teixeira,”IEEE International High Level Design and Test Workshop,2006. [9] L.T.Wang, C.W.Wu, X.Wen,”VLSI Test Principles and Architectures, “Morgan Kaufmann Publishers, 2006. [10] Chen C.H,Noh T.H,”VHDL behavioral ATPG and fault simulation of digital systems,”IEEE transactions Aerospace and Electronic Systems,April 1998,pp 430-447. [11] P.Goel, “Test Generation Cost Analysis and Projections,” Design Automation Conference, 1980. [12] Himanshu Bhatnagar,” Advanced ASIC Chip Synthesis “Kluwer Academic Publishers, 2000. [13] P.K.Lala,”Digital Circuit Testing and Testability, “Academic Press, 1997. 83