SlideShare una empresa de Scribd logo
1 de 6
Descargar para leer sin conexión
A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research
and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603
1598 | P a g e
Design and Analysis of an Enhanced Novel Multiplier with Low
Power in Submicron Technology for VLSI Applications
A.G.V.Karthik Raju1
, G.V.Vinod2
, Dr.V.Sailaja3
1
PG-Student,Department of ECE,GIET, Rajahmundry,A.P.
2
Assistant Professor, Department of ECE, GIET, Rajahmundry, A.P.
3
Professor, Department of ECE, GIET, Rajahmundry, A.P.
ABSTRACT
While performance and area remain to be
two major design goals, power consumption has
become a critical concern in today’s VLSI system
design. International technology roadmap for
semiconductors (ITRS) reports that ―when
technology scales down leakage power dissipation
may come to dominate total power consumption.
So it’s important and challenging task for low
power designers in sub micron circuits.
Multiplication is a fundamental operation in most
arithmetic computing systems.Multipliers has
large area, long latency and consumes
considerable power. The innovative power gating
schemes stacking power gating are analyzed
which minimizes the power dissipation in
submicron circuits.
The overall view of this paper is to attain
high speed, low power full multiplier with
alternative logic cells that lead to have reduced
power dissipation. Here the total multiplier
architecture is designed sub micron technology
and observed the power analysis.
Keywords – low power, submicron technology,
ITRS.
I. INTRODUCTION
Multipliers are one of the most important
arithmetic units in microprocessors and DSPs and
also a major source of power dissipation. Reducing
the power dissipation of multipliers is key to
satisfying the overall power budget of various digital
circuits and systems. . Power consumed by
multipliers can be lowered at various levels of the
design hierarchy, from algorithms to architectures to
circuits, and devices. Here we designed multiplier in
two different architecture and compare these with
conventional general multiplier architecture.
In this paper we designed multiplier
architecture in array model and tree style with
specially designed novel components to reduce
power dissipation and designed these architectures in
submicron technology.
II. GATE DIFFUSION INPUT (GDI)
GDI method is based on the use of a simple
cell as shown in figure 1. the design is seems to be
like an inverter, but the main differences are 1) it
Consist of three inputs- G (gate) input to
NMOS/PMOS), P (input to source of PMOS) and N
(input to source of NMOS). (2) Bulks of both NMOS
and PMOS are connected to N or P (respectively), so
it can be arbitrarily biased at contrast with CMOS
inverter.
Fig.1 : GDI base cell
Table 1. Various logic functions of GDI cell
III. FULL ADDER
A full adder could be a combinational circuit
that forms the arithmetic sum of three input bits. It
consists of three inputs and two outputs. In our
design, we have designated the three inputs as A, B
and C. The third input C represents carry input to the
first stage. The outputs are SUM and CARRY. Fig 1
shows the logic level diagram of full adder. The
Boolean expressions for the SUM and CARRY bits
are as shown below. SUM bit is the EX-OR function
A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research
and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603
1599 | P a g e
of all three inputs and CARRY bit is the AND
function of the three inputs. The truth table 2 of a full
adder is shown in Table 2. The truth table also
indicates the status of the CARRY bit; that is to say,
if that c array bit has been generated or deleted or
propagated.
Fig 2. logic diagram of full adder
Table 2. truth table of a full adder.
If either one of A or B inputs is ‘1’, then the
previous carry is just propagated, as the sum of A and
B is ‘1’. If both A and B are ‘1’s then carry is
generated because summing A and B would make
output SUM ‘0’ and CARRY ‘1’. If both A and B are
‘0’s then summing A and B would give us ‘0’ and
any previous carry is added to this SUM making
CARRY bit ‘0’. This is in effect deleting the
CARRY.
Fig 3. Schematic for XOR-gate
Fig 4. Schematic for full adder
Fig 5. Simulation results for full adder.
Fig 6. Layout for full adder.
Fig 7. GDI-XNOR FULL ADDER
Fig 8. Schematic for GDI-XNOR full adder
Fig 9. Lay out for GDI-XNOR full adder
A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research
and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603
1600 | P a g e
III.1 SLLEPY AND GATE
This sleepy technique is used to reduce
leakage power in digital circuits. The leakage power
reduction is a challenging job low power VLSI
designers.
In this technique a PMOS is placed between
power supply and pull up network and NMOS is
placed between pull down network and ground these
two transistors are called sleepy transistors these
transistor are reduced leakage power when the circuit
is not in active state. This PMOS have high VTH,
NMOS have low VTH value.
Fig 10. Schematic for SLEEPY-AND circuit.
Fig 11. Simulation results for SLEEPY-AND circuit
Fig 12. Layout for SLEEPY-AND circuit
IV. MULTIPLIER ARCHITECTURES
The wide-bit addition is vital in many
applications such as ALUs,multiply-and accumulates
(MAC) units in DSPs,and ,versatile microprocessor.
Different types of multiplier implementations are
exists Where as some are good for low power
dissipation. In multiplication, multiplicand is added
to itself a number of times as specified by the
multiplier to generate product. In this paper, three
different 4-bit multiplier architectures are designed.
IV.I CONVENTIONAL MULTIPLIER
ARCHITECTURE
Fig 13. Schematic for basic multiplier architecture
Fig 14. Simulation results for basic multiplier
architecture.
Fig.15 Layout for basic multiplier architecture
IV.2 ARRAY MULTIPLIER ARCHITECTURE
An array multiplier is very regular in
structure. It uses short wires that go from one full
adder to adjacent full adders horizontally, vertically
tes can
compute all the a b terms simultaneously. The terms
are summed by an array of ‘n [n - 2]’ full adders and
‘n’ no of half adders.The number of rows in array
multiplier denotes length of the multiplier and width
of each row denotes width of multiplicand.The output
of each row of adders acts as input to the next row of
adders. The advantage of array multiplier is its
regular structure. Thus it is easy to layout and has
small size. In VLSI designs, the regular structures
can be tiled over one another. This reduces the risk of
mistakes and also reduces layout design time. This
regular layout is widely used in VLSI math co-
processors and DSP chips.
A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research
and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603
1601 | P a g e
Fig 16. Schematic for array multiplier architecture
Fig 17. Simulation results for array multiplier
architecture
Fig 18. Layout for array multiplier architecture
IV.2 TREE MULTIPLIER ARCHITECTURE
This architecture is used where speed is the
main concern not the layout regularity. This class of
multipliers is based on reduction tree in which
different schemes of compression of partial product
bits can be implemented. In tree multiplier partial-
sum adders are arranged in a treelike fashion,
reducing both the critical path and the number of
adders needed. The partial products or multiples are
generated simultaneously by using a collection of
AND Gates. The multiples are added in
combinational partial products reduction tree using
carry save adders, which reduces them to two
operands for the final addition.
Fig 19. Schematic for tree multiplier architecture
Fig 20. Simulation results for tree multiplier
architecture
Fig 21. Layout for tree multiplier architecture
V. PROPOSED MULTIPLIER
ARCHITECTURE
In this proposed architecture we are using
GDI-XNOR full adder and sleepy and gate to design
the total architecture with low power dissipation.
Here we designed multiplier architectures in array
and tree style with these low power components for
VLSI and Embedded applications.
A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research
and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603
1602 | P a g e
Fig 22. Proposed conventional multiplier
architecture
Fig 23. Simulation results for conventional
architecture
Fig 24. Layout for conventional multiplier
architecture
Fig 25. Proposed array multiplier architecture
Fig 26. Simulation results for array multiplier
architecture
Fig 27. Layout for proposed array multiplier
architecture.
POWER ANALYSIS:
TOPOLOGY 180nm
(uw)
120nm
(uw)
90nm
(uw)
70nm
(uw)
AND GATE 24.01 10.07 7.27 1.74
SLEEPY-
AND GATE
15.43 3.64 2.46 0.98
Table 3. power analysis for AND gate and SLEEPY
AND gate
TOPOLOGY 180nm
(uw)
120nm
(uw)
90nm
(uw)
70nm
(uw)
FUL ADDER 73.54 40.32 28.62 9.62
GDI-XNOR
FA
34.16 5.04 2.91 1.93
Table 4. power analysis for general FULL ADDER
and GDI-XNOR FA
TOPOLOGY 180n
m
(uw)
120n
m
(uw)
90nm
(uw)
70n
m
(uw)
CONVENTIONA
L
MULTIPLIER
I.43
E-3
730.2
0
459.7
2
143.
4
PROPOSED
MULTIPLIER
535.8 118.7
2
74.28 29.0
4
Table 5. power analysis for conventional and
proposed multiplier
A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research
and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603
1603 | P a g e
TOPOLOGY 180nm
(uw)
120nm
(uw)
90nm
(uw)
70nm
(uw)
ARRAY
MULTIPLIER
1 E -3 536 368.8 118
PROPOSED
ARRAY
MULTIPLIER
658.88 153.6 95.6 44.88
Table 6. power analysis for array and proposed array
multiplier
0
5
10
15
20
25
180nm
120nm
90nm
Fig 28. Power analysis graph for AND and SLEEPY AND
gate
0
10
20
30
40
50
60
70
80
180nm
120nm
90nm
Fig 29. Power analysis graph for FA and GDI-XNOR FA
180nm
0
200
400
600
800
1000
1200
CONVENTIONAL
PROPOSED…
180nm
120nm
90nm
Fig 30. Power analysis graph for CONVENTIONAL and
PROPOSED multiplier
0
200
400
600
800
1000
180nm
120nm
90nm
Fig 31. Power analysis graph for ARRAY and
PROPOSED ARRAY multiplier
V1.CONCLUSION
It has been observed that the proposed basic
multiplier and array multiplier have less power
consumption compared to general one. Here we are
placing low power full adder namely GDI-XNOR full
adder and SLEEPY AND gate to reduce leakage
power and we observed that in architectural model
tree structural multiplier have less power
consumption compared to array structural multiplier.
This newly proposed 4 bit multiplier
architecture can be used to design all the low power
VLSI and Embedded devices
REFERENCES
[1] Sun, S., and Tsui, P., “Limitation of CMOS
supply-voltage scaling by MOSFET
threshold voltage”, IEEE Journal of Solid-
State Circuits, vol. 30, pp. 947-949, 1995.
[2] Weste, N., and Eshragian, K., Principles of
CMOS VLSI Design: A Systems
Perspective, Pearson Addison-Wesley
Publishers.
[3] Bellaouar, A., and Elmasry, M. I., Low-
Power Digital VLSI Design: Circuits and
Systems, Kluwer, Norwell, MA.
[4] Wallace, C.S., “A Suggestion for a Fast
Multiplier”, IEEE Transactions on
Electronic Computers.
[5] Najm, F., “A survey of power estimation
techniques in VLSI circuits”, IEEE
Transactions
[6] Zhijun Huang and Milos D. Ercegovac,
―Two-Dimensional Signal Gating for Low-
Power Array Multiplier Design‖ , IEEE
Conference Proceedings.
[7] S. A. Khater, A. Bellaouar, and M. I.
Elmastry, ―Circuit techniques for CMOS
low-power high-performance multipliers,‖
IEEE J. Solid- State Circuits, vol. 31.

Más contenido relacionado

La actualidad más candente

Layout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyLayout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyIJEEE
 
A Fuzzy Logic Based Switching Methodology for a Cascaded H-Bridge Multilevel ...
A Fuzzy Logic Based Switching Methodology for a Cascaded H-Bridge Multilevel ...A Fuzzy Logic Based Switching Methodology for a Cascaded H-Bridge Multilevel ...
A Fuzzy Logic Based Switching Methodology for a Cascaded H-Bridge Multilevel ...Asoka Technologies
 
Design and analysis of a patch antenna for bluetooth application
Design and analysis of a patch antenna for bluetooth applicationDesign and analysis of a patch antenna for bluetooth application
Design and analysis of a patch antenna for bluetooth applicationeSAT Publishing House
 
An Area-efficient Montgomery Modular Multiplier for Cryptosystems
An Area-efficient Montgomery Modular Multiplier for CryptosystemsAn Area-efficient Montgomery Modular Multiplier for Cryptosystems
An Area-efficient Montgomery Modular Multiplier for CryptosystemsIJERA Editor
 
CORDIC Algorithm for WLAN
CORDIC Algorithm for WLANCORDIC Algorithm for WLAN
CORDIC Algorithm for WLANIJERA Editor
 
ESS_Calculation for capacitive power requirement for achieving targeted power...
ESS_Calculation for capacitive power requirement for achieving targeted power...ESS_Calculation for capacitive power requirement for achieving targeted power...
ESS_Calculation for capacitive power requirement for achieving targeted power...Prasad Gore
 
Design and Implementation of Multiplier Using Kcm and Vedic Mathematics by Us...
Design and Implementation of Multiplier Using Kcm and Vedic Mathematics by Us...Design and Implementation of Multiplier Using Kcm and Vedic Mathematics by Us...
Design and Implementation of Multiplier Using Kcm and Vedic Mathematics by Us...IJMER
 
IRJET- A Review on Various Secured Data Encryption Models based on AES Standard
IRJET- A Review on Various Secured Data Encryption Models based on AES StandardIRJET- A Review on Various Secured Data Encryption Models based on AES Standard
IRJET- A Review on Various Secured Data Encryption Models based on AES StandardIRJET Journal
 
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsHEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsTELKOMNIKA JOURNAL
 
Multi Area Economic Dispatch Using Secant Method and Tie Line Matrix
Multi Area Economic Dispatch Using Secant Method and Tie Line MatrixMulti Area Economic Dispatch Using Secant Method and Tie Line Matrix
Multi Area Economic Dispatch Using Secant Method and Tie Line MatrixIJAPEJOURNAL
 
A Performance Analysis of CLMS and Augmented CLMS Algorithms for Smart Antennas
A Performance Analysis of CLMS and Augmented CLMS Algorithms for Smart Antennas A Performance Analysis of CLMS and Augmented CLMS Algorithms for Smart Antennas
A Performance Analysis of CLMS and Augmented CLMS Algorithms for Smart Antennas cscpconf
 
Enrichment towards the design of efficient 4 bit reversible subtractor 2
Enrichment towards the design of efficient 4 bit reversible subtractor 2Enrichment towards the design of efficient 4 bit reversible subtractor 2
Enrichment towards the design of efficient 4 bit reversible subtractor 2IAEME Publication
 
Curve Fitting - Linear Algebra
Curve Fitting - Linear AlgebraCurve Fitting - Linear Algebra
Curve Fitting - Linear AlgebraGowtham Cr
 
Finding Maximum Edge Biclique in Bipartite Networks by Integer Programming
Finding Maximum Edge Biclique in Bipartite Networks by Integer ProgrammingFinding Maximum Edge Biclique in Bipartite Networks by Integer Programming
Finding Maximum Edge Biclique in Bipartite Networks by Integer ProgrammingMelih Sözdinler
 
Modeling of off grid hybrid power system under jordanian climate
Modeling of off grid hybrid power system under jordanian climateModeling of off grid hybrid power system under jordanian climate
Modeling of off grid hybrid power system under jordanian climateAlexander Decker
 

La actualidad más candente (19)

Layout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyLayout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm Technology
 
A Fuzzy Logic Based Switching Methodology for a Cascaded H-Bridge Multilevel ...
A Fuzzy Logic Based Switching Methodology for a Cascaded H-Bridge Multilevel ...A Fuzzy Logic Based Switching Methodology for a Cascaded H-Bridge Multilevel ...
A Fuzzy Logic Based Switching Methodology for a Cascaded H-Bridge Multilevel ...
 
Design and analysis of a patch antenna for bluetooth application
Design and analysis of a patch antenna for bluetooth applicationDesign and analysis of a patch antenna for bluetooth application
Design and analysis of a patch antenna for bluetooth application
 
P410498102
P410498102P410498102
P410498102
 
Grid Interconnection of PV System Using Symmetric and Asymmetric MLI Topology
Grid Interconnection of PV System Using Symmetric and Asymmetric MLI TopologyGrid Interconnection of PV System Using Symmetric and Asymmetric MLI Topology
Grid Interconnection of PV System Using Symmetric and Asymmetric MLI Topology
 
An Area-efficient Montgomery Modular Multiplier for Cryptosystems
An Area-efficient Montgomery Modular Multiplier for CryptosystemsAn Area-efficient Montgomery Modular Multiplier for Cryptosystems
An Area-efficient Montgomery Modular Multiplier for Cryptosystems
 
CORDIC Algorithm for WLAN
CORDIC Algorithm for WLANCORDIC Algorithm for WLAN
CORDIC Algorithm for WLAN
 
ESS_Calculation for capacitive power requirement for achieving targeted power...
ESS_Calculation for capacitive power requirement for achieving targeted power...ESS_Calculation for capacitive power requirement for achieving targeted power...
ESS_Calculation for capacitive power requirement for achieving targeted power...
 
Design and Implementation of Multiplier Using Kcm and Vedic Mathematics by Us...
Design and Implementation of Multiplier Using Kcm and Vedic Mathematics by Us...Design and Implementation of Multiplier Using Kcm and Vedic Mathematics by Us...
Design and Implementation of Multiplier Using Kcm and Vedic Mathematics by Us...
 
IRJET- A Review on Various Secured Data Encryption Models based on AES Standard
IRJET- A Review on Various Secured Data Encryption Models based on AES StandardIRJET- A Review on Various Secured Data Encryption Models based on AES Standard
IRJET- A Review on Various Secured Data Encryption Models based on AES Standard
 
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsHEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
 
Multi Area Economic Dispatch Using Secant Method and Tie Line Matrix
Multi Area Economic Dispatch Using Secant Method and Tie Line MatrixMulti Area Economic Dispatch Using Secant Method and Tie Line Matrix
Multi Area Economic Dispatch Using Secant Method and Tie Line Matrix
 
A Performance Analysis of CLMS and Augmented CLMS Algorithms for Smart Antennas
A Performance Analysis of CLMS and Augmented CLMS Algorithms for Smart Antennas A Performance Analysis of CLMS and Augmented CLMS Algorithms for Smart Antennas
A Performance Analysis of CLMS and Augmented CLMS Algorithms for Smart Antennas
 
Enrichment towards the design of efficient 4 bit reversible subtractor 2
Enrichment towards the design of efficient 4 bit reversible subtractor 2Enrichment towards the design of efficient 4 bit reversible subtractor 2
Enrichment towards the design of efficient 4 bit reversible subtractor 2
 
Ax03303120316
Ax03303120316Ax03303120316
Ax03303120316
 
40220140503002
4022014050300240220140503002
40220140503002
 
Curve Fitting - Linear Algebra
Curve Fitting - Linear AlgebraCurve Fitting - Linear Algebra
Curve Fitting - Linear Algebra
 
Finding Maximum Edge Biclique in Bipartite Networks by Integer Programming
Finding Maximum Edge Biclique in Bipartite Networks by Integer ProgrammingFinding Maximum Edge Biclique in Bipartite Networks by Integer Programming
Finding Maximum Edge Biclique in Bipartite Networks by Integer Programming
 
Modeling of off grid hybrid power system under jordanian climate
Modeling of off grid hybrid power system under jordanian climateModeling of off grid hybrid power system under jordanian climate
Modeling of off grid hybrid power system under jordanian climate
 

Destacado

Lennus capacitación en Chile y oportunidades para el e learning
Lennus capacitación en Chile y oportunidades para el e learningLennus capacitación en Chile y oportunidades para el e learning
Lennus capacitación en Chile y oportunidades para el e learningIPP
 
High rise project
High rise projectHigh rise project
High rise projectRuben2121
 
Programmation de Mai
Programmation de MaiProgrammation de Mai
Programmation de Maiguestb0b51b
 
Plataforma virtual para seguimiento capacitaciones lennus
Plataforma virtual para seguimiento capacitaciones   lennusPlataforma virtual para seguimiento capacitaciones   lennus
Plataforma virtual para seguimiento capacitaciones lennusIPP
 
Frade - Júlio
Frade  - JúlioFrade  - Júlio
Frade - JúlioJulio Ma
 
Curso de Desenvolvimento de Sistemas Web - (X)HTML
Curso de Desenvolvimento de Sistemas Web - (X)HTMLCurso de Desenvolvimento de Sistemas Web - (X)HTML
Curso de Desenvolvimento de Sistemas Web - (X)HTMLFabio Moura Pereira
 

Destacado (8)

Lennus capacitación en Chile y oportunidades para el e learning
Lennus capacitación en Chile y oportunidades para el e learningLennus capacitación en Chile y oportunidades para el e learning
Lennus capacitación en Chile y oportunidades para el e learning
 
High rise project
High rise projectHigh rise project
High rise project
 
Programmation de Mai
Programmation de MaiProgrammation de Mai
Programmation de Mai
 
Plataforma virtual para seguimiento capacitaciones lennus
Plataforma virtual para seguimiento capacitaciones   lennusPlataforma virtual para seguimiento capacitaciones   lennus
Plataforma virtual para seguimiento capacitaciones lennus
 
Frade - Júlio
Frade  - JúlioFrade  - Júlio
Frade - Júlio
 
Curso de Desenvolvimento de Sistemas Web - (X)HTML
Curso de Desenvolvimento de Sistemas Web - (X)HTMLCurso de Desenvolvimento de Sistemas Web - (X)HTML
Curso de Desenvolvimento de Sistemas Web - (X)HTML
 
La relativitat
La relativitatLa relativitat
La relativitat
 
Foodart.ppt2
Foodart.ppt2Foodart.ppt2
Foodart.ppt2
 

Similar a Ir3415981603

Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...IJERA Editor
 
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...IJERA Editor
 
Comparison of Wilkinson Power Divider And Gysel Power Divider Using Ads® For...
Comparison of Wilkinson Power Divider And Gysel Power Divider Using Ads®  For...Comparison of Wilkinson Power Divider And Gysel Power Divider Using Ads®  For...
Comparison of Wilkinson Power Divider And Gysel Power Divider Using Ads® For...cscpconf
 
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET - Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET Journal
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Editor IJARCET
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Editor IJARCET
 
A Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueA Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueIJERA Editor
 
Design of Earthing System for 230 kV High Voltage Substation by ETAP 12.6 Sof...
Design of Earthing System for 230 kV High Voltage Substation by ETAP 12.6 Sof...Design of Earthing System for 230 kV High Voltage Substation by ETAP 12.6 Sof...
Design of Earthing System for 230 kV High Voltage Substation by ETAP 12.6 Sof...ijtsrd
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET Journal
 
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET -  	  Comparison of Vedic, Wallac Tree and Array MultipliersIRJET -  	  Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET - Comparison of Vedic, Wallac Tree and Array MultipliersIRJET Journal
 
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateAn Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateIJERA Editor
 
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET Journal
 
Analysis, verification and fpga implementation of low power multiplier
Analysis, verification and fpga implementation of low power multiplierAnalysis, verification and fpga implementation of low power multiplier
Analysis, verification and fpga implementation of low power multipliereSAT Journals
 
Analysis, verification and fpga implementation of low power multiplier
Analysis, verification and fpga implementation of low power multiplierAnalysis, verification and fpga implementation of low power multiplier
Analysis, verification and fpga implementation of low power multipliereSAT Publishing House
 
Miniaturized Cavity Backed Substrate Integrated Waveguide Antenna for Ku-Band...
Miniaturized Cavity Backed Substrate Integrated Waveguide Antenna for Ku-Band...Miniaturized Cavity Backed Substrate Integrated Waveguide Antenna for Ku-Band...
Miniaturized Cavity Backed Substrate Integrated Waveguide Antenna for Ku-Band...IRJET Journal
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET Journal
 
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS TechnologyComparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technologyijtsrd
 

Similar a Ir3415981603 (20)

Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
 
X34143146
X34143146X34143146
X34143146
 
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...
 
Comparison of Wilkinson Power Divider And Gysel Power Divider Using Ads® For...
Comparison of Wilkinson Power Divider And Gysel Power Divider Using Ads®  For...Comparison of Wilkinson Power Divider And Gysel Power Divider Using Ads®  For...
Comparison of Wilkinson Power Divider And Gysel Power Divider Using Ads® For...
 
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362
 
A Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueA Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI technique
 
Design of Earthing System for 230 kV High Voltage Substation by ETAP 12.6 Sof...
Design of Earthing System for 230 kV High Voltage Substation by ETAP 12.6 Sof...Design of Earthing System for 230 kV High Voltage Substation by ETAP 12.6 Sof...
Design of Earthing System for 230 kV High Voltage Substation by ETAP 12.6 Sof...
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based Multiplier
 
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET -  	  Comparison of Vedic, Wallac Tree and Array MultipliersIRJET -  	  Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
 
W34137142
W34137142W34137142
W34137142
 
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateAn Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
 
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
 
Analysis, verification and fpga implementation of low power multiplier
Analysis, verification and fpga implementation of low power multiplierAnalysis, verification and fpga implementation of low power multiplier
Analysis, verification and fpga implementation of low power multiplier
 
Analysis, verification and fpga implementation of low power multiplier
Analysis, verification and fpga implementation of low power multiplierAnalysis, verification and fpga implementation of low power multiplier
Analysis, verification and fpga implementation of low power multiplier
 
Miniaturized Cavity Backed Substrate Integrated Waveguide Antenna for Ku-Band...
Miniaturized Cavity Backed Substrate Integrated Waveguide Antenna for Ku-Band...Miniaturized Cavity Backed Substrate Integrated Waveguide Antenna for Ku-Band...
Miniaturized Cavity Backed Substrate Integrated Waveguide Antenna for Ku-Band...
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full Adders
 
Fi36983988
Fi36983988Fi36983988
Fi36983988
 
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS TechnologyComparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
 

Último

08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Servicegiselly40
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...gurkirankumar98700
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityPrincipled Technologies
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonAnna Loughnan Colquhoun
 

Último (20)

08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 

Ir3415981603

  • 1. A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603 1598 | P a g e Design and Analysis of an Enhanced Novel Multiplier with Low Power in Submicron Technology for VLSI Applications A.G.V.Karthik Raju1 , G.V.Vinod2 , Dr.V.Sailaja3 1 PG-Student,Department of ECE,GIET, Rajahmundry,A.P. 2 Assistant Professor, Department of ECE, GIET, Rajahmundry, A.P. 3 Professor, Department of ECE, GIET, Rajahmundry, A.P. ABSTRACT While performance and area remain to be two major design goals, power consumption has become a critical concern in today’s VLSI system design. International technology roadmap for semiconductors (ITRS) reports that ―when technology scales down leakage power dissipation may come to dominate total power consumption. So it’s important and challenging task for low power designers in sub micron circuits. Multiplication is a fundamental operation in most arithmetic computing systems.Multipliers has large area, long latency and consumes considerable power. The innovative power gating schemes stacking power gating are analyzed which minimizes the power dissipation in submicron circuits. The overall view of this paper is to attain high speed, low power full multiplier with alternative logic cells that lead to have reduced power dissipation. Here the total multiplier architecture is designed sub micron technology and observed the power analysis. Keywords – low power, submicron technology, ITRS. I. INTRODUCTION Multipliers are one of the most important arithmetic units in microprocessors and DSPs and also a major source of power dissipation. Reducing the power dissipation of multipliers is key to satisfying the overall power budget of various digital circuits and systems. . Power consumed by multipliers can be lowered at various levels of the design hierarchy, from algorithms to architectures to circuits, and devices. Here we designed multiplier in two different architecture and compare these with conventional general multiplier architecture. In this paper we designed multiplier architecture in array model and tree style with specially designed novel components to reduce power dissipation and designed these architectures in submicron technology. II. GATE DIFFUSION INPUT (GDI) GDI method is based on the use of a simple cell as shown in figure 1. the design is seems to be like an inverter, but the main differences are 1) it Consist of three inputs- G (gate) input to NMOS/PMOS), P (input to source of PMOS) and N (input to source of NMOS). (2) Bulks of both NMOS and PMOS are connected to N or P (respectively), so it can be arbitrarily biased at contrast with CMOS inverter. Fig.1 : GDI base cell Table 1. Various logic functions of GDI cell III. FULL ADDER A full adder could be a combinational circuit that forms the arithmetic sum of three input bits. It consists of three inputs and two outputs. In our design, we have designated the three inputs as A, B and C. The third input C represents carry input to the first stage. The outputs are SUM and CARRY. Fig 1 shows the logic level diagram of full adder. The Boolean expressions for the SUM and CARRY bits are as shown below. SUM bit is the EX-OR function
  • 2. A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603 1599 | P a g e of all three inputs and CARRY bit is the AND function of the three inputs. The truth table 2 of a full adder is shown in Table 2. The truth table also indicates the status of the CARRY bit; that is to say, if that c array bit has been generated or deleted or propagated. Fig 2. logic diagram of full adder Table 2. truth table of a full adder. If either one of A or B inputs is ‘1’, then the previous carry is just propagated, as the sum of A and B is ‘1’. If both A and B are ‘1’s then carry is generated because summing A and B would make output SUM ‘0’ and CARRY ‘1’. If both A and B are ‘0’s then summing A and B would give us ‘0’ and any previous carry is added to this SUM making CARRY bit ‘0’. This is in effect deleting the CARRY. Fig 3. Schematic for XOR-gate Fig 4. Schematic for full adder Fig 5. Simulation results for full adder. Fig 6. Layout for full adder. Fig 7. GDI-XNOR FULL ADDER Fig 8. Schematic for GDI-XNOR full adder Fig 9. Lay out for GDI-XNOR full adder
  • 3. A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603 1600 | P a g e III.1 SLLEPY AND GATE This sleepy technique is used to reduce leakage power in digital circuits. The leakage power reduction is a challenging job low power VLSI designers. In this technique a PMOS is placed between power supply and pull up network and NMOS is placed between pull down network and ground these two transistors are called sleepy transistors these transistor are reduced leakage power when the circuit is not in active state. This PMOS have high VTH, NMOS have low VTH value. Fig 10. Schematic for SLEEPY-AND circuit. Fig 11. Simulation results for SLEEPY-AND circuit Fig 12. Layout for SLEEPY-AND circuit IV. MULTIPLIER ARCHITECTURES The wide-bit addition is vital in many applications such as ALUs,multiply-and accumulates (MAC) units in DSPs,and ,versatile microprocessor. Different types of multiplier implementations are exists Where as some are good for low power dissipation. In multiplication, multiplicand is added to itself a number of times as specified by the multiplier to generate product. In this paper, three different 4-bit multiplier architectures are designed. IV.I CONVENTIONAL MULTIPLIER ARCHITECTURE Fig 13. Schematic for basic multiplier architecture Fig 14. Simulation results for basic multiplier architecture. Fig.15 Layout for basic multiplier architecture IV.2 ARRAY MULTIPLIER ARCHITECTURE An array multiplier is very regular in structure. It uses short wires that go from one full adder to adjacent full adders horizontally, vertically tes can compute all the a b terms simultaneously. The terms are summed by an array of ‘n [n - 2]’ full adders and ‘n’ no of half adders.The number of rows in array multiplier denotes length of the multiplier and width of each row denotes width of multiplicand.The output of each row of adders acts as input to the next row of adders. The advantage of array multiplier is its regular structure. Thus it is easy to layout and has small size. In VLSI designs, the regular structures can be tiled over one another. This reduces the risk of mistakes and also reduces layout design time. This regular layout is widely used in VLSI math co- processors and DSP chips.
  • 4. A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603 1601 | P a g e Fig 16. Schematic for array multiplier architecture Fig 17. Simulation results for array multiplier architecture Fig 18. Layout for array multiplier architecture IV.2 TREE MULTIPLIER ARCHITECTURE This architecture is used where speed is the main concern not the layout regularity. This class of multipliers is based on reduction tree in which different schemes of compression of partial product bits can be implemented. In tree multiplier partial- sum adders are arranged in a treelike fashion, reducing both the critical path and the number of adders needed. The partial products or multiples are generated simultaneously by using a collection of AND Gates. The multiples are added in combinational partial products reduction tree using carry save adders, which reduces them to two operands for the final addition. Fig 19. Schematic for tree multiplier architecture Fig 20. Simulation results for tree multiplier architecture Fig 21. Layout for tree multiplier architecture V. PROPOSED MULTIPLIER ARCHITECTURE In this proposed architecture we are using GDI-XNOR full adder and sleepy and gate to design the total architecture with low power dissipation. Here we designed multiplier architectures in array and tree style with these low power components for VLSI and Embedded applications.
  • 5. A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603 1602 | P a g e Fig 22. Proposed conventional multiplier architecture Fig 23. Simulation results for conventional architecture Fig 24. Layout for conventional multiplier architecture Fig 25. Proposed array multiplier architecture Fig 26. Simulation results for array multiplier architecture Fig 27. Layout for proposed array multiplier architecture. POWER ANALYSIS: TOPOLOGY 180nm (uw) 120nm (uw) 90nm (uw) 70nm (uw) AND GATE 24.01 10.07 7.27 1.74 SLEEPY- AND GATE 15.43 3.64 2.46 0.98 Table 3. power analysis for AND gate and SLEEPY AND gate TOPOLOGY 180nm (uw) 120nm (uw) 90nm (uw) 70nm (uw) FUL ADDER 73.54 40.32 28.62 9.62 GDI-XNOR FA 34.16 5.04 2.91 1.93 Table 4. power analysis for general FULL ADDER and GDI-XNOR FA TOPOLOGY 180n m (uw) 120n m (uw) 90nm (uw) 70n m (uw) CONVENTIONA L MULTIPLIER I.43 E-3 730.2 0 459.7 2 143. 4 PROPOSED MULTIPLIER 535.8 118.7 2 74.28 29.0 4 Table 5. power analysis for conventional and proposed multiplier
  • 6. A.G.V.Karthik Raju, G.V.Vinod, Dr.V.Sailaja / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp.1598-1603 1603 | P a g e TOPOLOGY 180nm (uw) 120nm (uw) 90nm (uw) 70nm (uw) ARRAY MULTIPLIER 1 E -3 536 368.8 118 PROPOSED ARRAY MULTIPLIER 658.88 153.6 95.6 44.88 Table 6. power analysis for array and proposed array multiplier 0 5 10 15 20 25 180nm 120nm 90nm Fig 28. Power analysis graph for AND and SLEEPY AND gate 0 10 20 30 40 50 60 70 80 180nm 120nm 90nm Fig 29. Power analysis graph for FA and GDI-XNOR FA 180nm 0 200 400 600 800 1000 1200 CONVENTIONAL PROPOSED… 180nm 120nm 90nm Fig 30. Power analysis graph for CONVENTIONAL and PROPOSED multiplier 0 200 400 600 800 1000 180nm 120nm 90nm Fig 31. Power analysis graph for ARRAY and PROPOSED ARRAY multiplier V1.CONCLUSION It has been observed that the proposed basic multiplier and array multiplier have less power consumption compared to general one. Here we are placing low power full adder namely GDI-XNOR full adder and SLEEPY AND gate to reduce leakage power and we observed that in architectural model tree structural multiplier have less power consumption compared to array structural multiplier. This newly proposed 4 bit multiplier architecture can be used to design all the low power VLSI and Embedded devices REFERENCES [1] Sun, S., and Tsui, P., “Limitation of CMOS supply-voltage scaling by MOSFET threshold voltage”, IEEE Journal of Solid- State Circuits, vol. 30, pp. 947-949, 1995. [2] Weste, N., and Eshragian, K., Principles of CMOS VLSI Design: A Systems Perspective, Pearson Addison-Wesley Publishers. [3] Bellaouar, A., and Elmasry, M. I., Low- Power Digital VLSI Design: Circuits and Systems, Kluwer, Norwell, MA. [4] Wallace, C.S., “A Suggestion for a Fast Multiplier”, IEEE Transactions on Electronic Computers. [5] Najm, F., “A survey of power estimation techniques in VLSI circuits”, IEEE Transactions [6] Zhijun Huang and Milos D. Ercegovac, ―Two-Dimensional Signal Gating for Low- Power Array Multiplier Design‖ , IEEE Conference Proceedings. [7] S. A. Khater, A. Bellaouar, and M. I. Elmastry, ―Circuit techniques for CMOS low-power high-performance multipliers,‖ IEEE J. Solid- State Circuits, vol. 31.