SlideShare una empresa de Scribd logo
1 de 4
Descargar para leer sin conexión
1|Page

NOTES: Verilog Part 1
1 CHAPTER 1:
 HDL converts Behavioural Description to RTL Description.
 Data Flow is decided by the designer.
 Logic Synthesis tool convert RTL description to Gate Level Netlist. (Gate level netlist is a
description of the circuit in terms of gate and connections between them. It ensures that the
circuit meets timing, area and power specifications)
 The layout is verified and then fabricated.

2 CHAPTER 2:
2.1 TWO DESIGN METHODOLOGIES



Top Down: The main module is divided into sub modules which are further
divided into leaf cells.
Bottom Up: Collect all the leaf cells available with us and form the higher
level modules, and reach the main module.
(WHAT IF THE BASIC MODULE IS NOT AVAILABLE WITH US?)

2.2 FOUR LEVELS OF ABSTRACTIONS






Behavioural/Algorithmic level: Highest level of abstraction. Module can be
designed on desired algorithm without concerning about hardware
implementation.
Dataflow Level: Module is designed by specifying the data flow.
Gate Level: Module is implemented in terms of logic gates and
interconnections. Similar to gate logic diagram.
Switch Level: Module can be implemented using switches, storage nodes
and interconnections between them. Lowest Level of Abstraction.

2.3 MODULES IN VERILOG ARE SIMILAR TO THE CLASSES IN C++
2.4 INSTANCE IN VERILOG ARE SIMILAR TO THE OBJECTS IN C++
2.5 STIMULUS AND DESIGN BLOCK.



Notes: Verilog Part-1

In the first style the stimulus block instantiates the design block and directly
drives the signals in the design block.
The second style instantiates both stimulus and design blocks in top level
dummy module. The stimulus block interacts with the design block only at
through the interface.

Prepared By: Jay Baxi
2|Page

2.6 STIMULUS BLOCK IS ALSO CALLED THE TEST BENCH.

3 CHAPTER 3:
3.1 VERBAL CONVENTIONS













Whitespaces: b, t, n are used for blank spaces, tabs and newlines.
(WHAT IS THE NEED FOR b, IF AN ORDINARY SPACE “ “ IS USED?)
Comments: //Single Line Comment
/* Multi Line
Comment */
Operators:
j= ~b;
//Unary Operator
j= b && c;
//Binary Operator
j= b? c : d
//Ternary Operator
Number Specification:
Sized
Unknown Impedance (x)
Un-sized
High Impedance(z)
Negative
Underscore and Question Mark
Strings: “a / b is a string”
There are 123 keywords
There are 22 system tasks and functions
There are 27 compiler directives
Escaped Identifiers: They begin with a backslash () and end with a white
space or a new line. All the characters between a backslash and a
whitespace are processed literally.

3.2 DATA TYPES:








Notes: Verilog Part-1

Value Set:
Value Level
Condition
0
Logic Zero, False Condition
1
Logic One, True Condition
X
Unknown Logic Value
Z
High Impedance, Floating State
If two signals of strong1 and weak0 contend, the result is resolved as
strong1.
If two signals of equal strengths contend, the result is X (unknown)
Nets: They represent the connection between the hardware. In the HDL, we
they are represented as wire.
They are one bit values by default, unless explicitly mentioned as vectors.
net is not a keyword. It is a class of data types such as wire, wand, wor, tri,
triand, trior, trireg.
Registers: They represent data storage elements. They retain values until
other value is retained on them.

Prepared By: Jay Baxi
3|Page














Unlike a net, a register does not need a driver.
These registers do not need a clock unlike the hardware registers.
They are used with keyword reg. And its default value is x.
Vectors: wire or reg can be declared as vectors, if bit width is not specified.
Operations can be performed on selected parts of the vectors.
Integers: it is a register data type, reg can be used as a general purpose
register but in processes like counting it is more convenient to declare them
as integer.
Real: real numbers are also a register data type and is declared using the
keyword real.
Time: Verilog simulation is done w.r.t. simulation time. A special time
register is used to save the simulation time.
The width of the time register data type is implementation-specific but is at
least 64 bits.
The system function $time is invoked to get the current simulation time.
Arrays: Arrays are data types to increase the size of register data type and
can be used to create multidimensional matrices.
Memories: In most cases when RAMs and ROMs are designed by digital
designers. For Verilog, memories are modelled as one dimensional array of
registers.
Each element of the array is known as the element or the word, which is of
more than one bits. And is addressed by a single array index.
A particular word in the memory is obtained by using the address as a
memory in the subscript.
Parameters: parameter allows constants to be defined in Verilog. They
cannot be used as variables.
Parameter values for each module instance can be overridden individually at
compile time.
Parameter size and type can also be defined.
Strings: Strings can be stored in the reg data type. The width must be large
enough to hold the string.
If the width is larger than the size of the string, it fills bits to the left of the
string with ZEROS.
If the width is smaller than the string, it truncates leftmost bits of the string.

3.3 SYSTEM TASKS






Notes: Verilog Part-1

$display: This is the main system task for displaying values of variables or
strings or expressions.
$monitor: This is used to monitor a signal when its value changes.
$monitoron enables monitoring whereas $monitoroff disables it.
$stop: The $stop task puts the simulation in an interactive mode. This is
mainly used for debugging. The designer can suspend the simulation and
examine the value of the signals.
$finish: This terminates the simulation.

Prepared By: Jay Baxi
4|Page

3.4 COMPILER DIRECTIVES




Notes: Verilog Part-1

`define: This is similar to #define construct in C. It defines text macros in
Verilog. The compiler substitutes the text of the macro whenever it
encounters <macro_name>
‘include: This is used to include header files or other Verilog source files
which contain global or commonly used definitions.

Prepared By: Jay Baxi

Más contenido relacionado

La actualidad más candente

La actualidad más candente (20)

8051 timer counter
8051 timer counter8051 timer counter
8051 timer counter
 
Verilog HDL
Verilog HDLVerilog HDL
Verilog HDL
 
06. thumb instructions
06. thumb instructions06. thumb instructions
06. thumb instructions
 
BLAST
BLASTBLAST
BLAST
 
1347 Assembly Language Programming Of 8051
1347 Assembly Language Programming Of 80511347 Assembly Language Programming Of 8051
1347 Assembly Language Programming Of 8051
 
Dsp ppt
Dsp pptDsp ppt
Dsp ppt
 
MICROPROCESSOR & MICROCONTROLLER 8086,8051 Notes
MICROPROCESSOR & MICROCONTROLLER 8086,8051 NotesMICROPROCESSOR & MICROCONTROLLER 8086,8051 Notes
MICROPROCESSOR & MICROCONTROLLER 8086,8051 Notes
 
decade counter
decade counterdecade counter
decade counter
 
Microprocessors
MicroprocessorsMicroprocessors
Microprocessors
 
Introduction to DSP Processors-UNIT-6
Introduction to DSP Processors-UNIT-6Introduction to DSP Processors-UNIT-6
Introduction to DSP Processors-UNIT-6
 
8051 MICROCONTROLLER ARCHITECTURE.pptx
 8051 MICROCONTROLLER ARCHITECTURE.pptx 8051 MICROCONTROLLER ARCHITECTURE.pptx
8051 MICROCONTROLLER ARCHITECTURE.pptx
 
Basics of digital electronics
Basics of digital electronicsBasics of digital electronics
Basics of digital electronics
 
Lect 2 ARM processor architecture
Lect 2 ARM processor architectureLect 2 ARM processor architecture
Lect 2 ARM processor architecture
 
Embedded System
Embedded System Embedded System
Embedded System
 
8086 assembly
8086 assembly8086 assembly
8086 assembly
 
8051 Architecture and PIN Configuration.pdf
8051 Architecture and PIN Configuration.pdf8051 Architecture and PIN Configuration.pdf
8051 Architecture and PIN Configuration.pdf
 
Eye pattern
Eye patternEye pattern
Eye pattern
 
Digital Signal Processing
Digital Signal Processing Digital Signal Processing
Digital Signal Processing
 
Assembly language 8086
Assembly language 8086Assembly language 8086
Assembly language 8086
 
Applications of cross correlation
Applications of cross correlationApplications of cross correlation
Applications of cross correlation
 

Destacado

Radiation Hardening by Design
Radiation Hardening by DesignRadiation Hardening by Design
Radiation Hardening by DesignJay Baxi
 
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...Jay Baxi
 
Notes: Verilog Part 4- Behavioural Modelling
Notes: Verilog Part 4- Behavioural ModellingNotes: Verilog Part 4- Behavioural Modelling
Notes: Verilog Part 4- Behavioural ModellingJay Baxi
 
Notes: Verilog Part 5 - Tasks and Functions
Notes: Verilog Part 5 - Tasks and FunctionsNotes: Verilog Part 5 - Tasks and Functions
Notes: Verilog Part 5 - Tasks and FunctionsJay Baxi
 
Seminar: Fabrication and Characteristics of CMOS
Seminar: Fabrication and Characteristics of CMOSSeminar: Fabrication and Characteristics of CMOS
Seminar: Fabrication and Characteristics of CMOSJay Baxi
 
Designing of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLDesigning of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLJay Baxi
 
Web design and development cs506 handouts
Web design and development   cs506 handoutsWeb design and development   cs506 handouts
Web design and development cs506 handoutsSohaib Danish
 
4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-IntroductionDr. Shivananda Koteshwar
 
Fundamentals of HDL (first 4 chapters only) - Godse
Fundamentals of HDL (first 4 chapters only) - GodseFundamentals of HDL (first 4 chapters only) - Godse
Fundamentals of HDL (first 4 chapters only) - GodseHammam
 
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...Hanumantha Raju
 
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...BMS Institute of Technology and Management
 
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...BMS Institute of Technology and Management
 
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...Arunkumar Gowdru
 
Operating system concepts (notes)
Operating system concepts (notes)Operating system concepts (notes)
Operating system concepts (notes)Sohaib Danish
 
Embedded systems class notes
Embedded systems  class notes Embedded systems  class notes
Embedded systems class notes Dr.YNM
 

Destacado (16)

Radiation Hardening by Design
Radiation Hardening by DesignRadiation Hardening by Design
Radiation Hardening by Design
 
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
 
Notes: Verilog Part 4- Behavioural Modelling
Notes: Verilog Part 4- Behavioural ModellingNotes: Verilog Part 4- Behavioural Modelling
Notes: Verilog Part 4- Behavioural Modelling
 
Notes: Verilog Part 5 - Tasks and Functions
Notes: Verilog Part 5 - Tasks and FunctionsNotes: Verilog Part 5 - Tasks and Functions
Notes: Verilog Part 5 - Tasks and Functions
 
Seminar: Fabrication and Characteristics of CMOS
Seminar: Fabrication and Characteristics of CMOSSeminar: Fabrication and Characteristics of CMOS
Seminar: Fabrication and Characteristics of CMOS
 
Designing of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLDesigning of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDL
 
First Year Basic Electronics Notes VTU Syllabus 2014 Scheme
First Year Basic Electronics Notes VTU Syllabus 2014 SchemeFirst Year Basic Electronics Notes VTU Syllabus 2014 Scheme
First Year Basic Electronics Notes VTU Syllabus 2014 Scheme
 
Web design and development cs506 handouts
Web design and development   cs506 handoutsWeb design and development   cs506 handouts
Web design and development cs506 handouts
 
4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction
 
Fundamentals of HDL (first 4 chapters only) - Godse
Fundamentals of HDL (first 4 chapters only) - GodseFundamentals of HDL (first 4 chapters only) - Godse
Fundamentals of HDL (first 4 chapters only) - Godse
 
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
 
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
 
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
 
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
 
Operating system concepts (notes)
Operating system concepts (notes)Operating system concepts (notes)
Operating system concepts (notes)
 
Embedded systems class notes
Embedded systems  class notes Embedded systems  class notes
Embedded systems class notes
 

Similar a Notes: Verilog Part 1 - Overview - Hierarchical Modeling Concepts - Basics

Java platform
Java platformJava platform
Java platformVisithan
 
06 chapter03 04_control_logix_tags_memory_structure_fa16
06 chapter03 04_control_logix_tags_memory_structure_fa1606 chapter03 04_control_logix_tags_memory_structure_fa16
06 chapter03 04_control_logix_tags_memory_structure_fa16John Todora
 
Verilog Final Probe'22.pptx
Verilog Final Probe'22.pptxVerilog Final Probe'22.pptx
Verilog Final Probe'22.pptxSyedAzim6
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdfFrangoCamila
 
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGDOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGIJCI JOURNAL
 
Symbol Table, Error Handler & Code Generation
Symbol Table, Error Handler & Code GenerationSymbol Table, Error Handler & Code Generation
Symbol Table, Error Handler & Code GenerationAkhil Kaushik
 
CSCI 2121- Computer Organization and Assembly Language Labor.docx
CSCI 2121- Computer Organization and Assembly Language Labor.docxCSCI 2121- Computer Organization and Assembly Language Labor.docx
CSCI 2121- Computer Organization and Assembly Language Labor.docxannettsparrow
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsRégis SANTONJA
 
System Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLSystem Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLE2MATRIX
 

Similar a Notes: Verilog Part 1 - Overview - Hierarchical Modeling Concepts - Basics (20)

Verilog
VerilogVerilog
Verilog
 
Verilog
VerilogVerilog
Verilog
 
Java platform
Java platformJava platform
Java platform
 
DDUV.pdf
DDUV.pdfDDUV.pdf
DDUV.pdf
 
VHDL- data types
VHDL- data typesVHDL- data types
VHDL- data types
 
06 chapter03 04_control_logix_tags_memory_structure_fa16
06 chapter03 04_control_logix_tags_memory_structure_fa1606 chapter03 04_control_logix_tags_memory_structure_fa16
06 chapter03 04_control_logix_tags_memory_structure_fa16
 
Verilog Final Probe'22.pptx
Verilog Final Probe'22.pptxVerilog Final Probe'22.pptx
Verilog Final Probe'22.pptx
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf
 
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGDOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
 
Chapter 01
Chapter 01Chapter 01
Chapter 01
 
Symbol Table, Error Handler & Code Generation
Symbol Table, Error Handler & Code GenerationSymbol Table, Error Handler & Code Generation
Symbol Table, Error Handler & Code Generation
 
CSCI 2121- Computer Organization and Assembly Language Labor.docx
CSCI 2121- Computer Organization and Assembly Language Labor.docxCSCI 2121- Computer Organization and Assembly Language Labor.docx
CSCI 2121- Computer Organization and Assembly Language Labor.docx
 
7986-lect 7.pdf
7986-lect 7.pdf7986-lect 7.pdf
7986-lect 7.pdf
 
Cc module 3.pptx
Cc module 3.pptxCc module 3.pptx
Cc module 3.pptx
 
VLSI
VLSIVLSI
VLSI
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
VLSI
VLSIVLSI
VLSI
 
System Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLSystem Verilog Tutorial - VHDL
System Verilog Tutorial - VHDL
 
Introduction to Microcontrollers
Introduction to MicrocontrollersIntroduction to Microcontrollers
Introduction to Microcontrollers
 

Último

SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...KokoStevan
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdfQucHHunhnh
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxheathfieldcps1
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAssociation for Project Management
 
Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxVishalSingh1417
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactdawncurless
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
fourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingfourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingTeacherCyreneCayanan
 
An Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdfAn Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdfSanaAli374401
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxVishalSingh1417
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...EduSkills OECD
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxnegromaestrong
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactPECB
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Celine George
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxDenish Jangid
 
Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDThiyagu K
 

Último (20)

SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdf
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across Sectors
 
Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptx
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impact
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
fourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingfourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writing
 
An Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdfAn Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdf
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptx
 
Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SD
 

Notes: Verilog Part 1 - Overview - Hierarchical Modeling Concepts - Basics

  • 1. 1|Page NOTES: Verilog Part 1 1 CHAPTER 1:  HDL converts Behavioural Description to RTL Description.  Data Flow is decided by the designer.  Logic Synthesis tool convert RTL description to Gate Level Netlist. (Gate level netlist is a description of the circuit in terms of gate and connections between them. It ensures that the circuit meets timing, area and power specifications)  The layout is verified and then fabricated. 2 CHAPTER 2: 2.1 TWO DESIGN METHODOLOGIES   Top Down: The main module is divided into sub modules which are further divided into leaf cells. Bottom Up: Collect all the leaf cells available with us and form the higher level modules, and reach the main module. (WHAT IF THE BASIC MODULE IS NOT AVAILABLE WITH US?) 2.2 FOUR LEVELS OF ABSTRACTIONS     Behavioural/Algorithmic level: Highest level of abstraction. Module can be designed on desired algorithm without concerning about hardware implementation. Dataflow Level: Module is designed by specifying the data flow. Gate Level: Module is implemented in terms of logic gates and interconnections. Similar to gate logic diagram. Switch Level: Module can be implemented using switches, storage nodes and interconnections between them. Lowest Level of Abstraction. 2.3 MODULES IN VERILOG ARE SIMILAR TO THE CLASSES IN C++ 2.4 INSTANCE IN VERILOG ARE SIMILAR TO THE OBJECTS IN C++ 2.5 STIMULUS AND DESIGN BLOCK.   Notes: Verilog Part-1 In the first style the stimulus block instantiates the design block and directly drives the signals in the design block. The second style instantiates both stimulus and design blocks in top level dummy module. The stimulus block interacts with the design block only at through the interface. Prepared By: Jay Baxi
  • 2. 2|Page 2.6 STIMULUS BLOCK IS ALSO CALLED THE TEST BENCH. 3 CHAPTER 3: 3.1 VERBAL CONVENTIONS          Whitespaces: b, t, n are used for blank spaces, tabs and newlines. (WHAT IS THE NEED FOR b, IF AN ORDINARY SPACE “ “ IS USED?) Comments: //Single Line Comment /* Multi Line Comment */ Operators: j= ~b; //Unary Operator j= b && c; //Binary Operator j= b? c : d //Ternary Operator Number Specification: Sized Unknown Impedance (x) Un-sized High Impedance(z) Negative Underscore and Question Mark Strings: “a / b is a string” There are 123 keywords There are 22 system tasks and functions There are 27 compiler directives Escaped Identifiers: They begin with a backslash () and end with a white space or a new line. All the characters between a backslash and a whitespace are processed literally. 3.2 DATA TYPES:      Notes: Verilog Part-1 Value Set: Value Level Condition 0 Logic Zero, False Condition 1 Logic One, True Condition X Unknown Logic Value Z High Impedance, Floating State If two signals of strong1 and weak0 contend, the result is resolved as strong1. If two signals of equal strengths contend, the result is X (unknown) Nets: They represent the connection between the hardware. In the HDL, we they are represented as wire. They are one bit values by default, unless explicitly mentioned as vectors. net is not a keyword. It is a class of data types such as wire, wand, wor, tri, triand, trior, trireg. Registers: They represent data storage elements. They retain values until other value is retained on them. Prepared By: Jay Baxi
  • 3. 3|Page         Unlike a net, a register does not need a driver. These registers do not need a clock unlike the hardware registers. They are used with keyword reg. And its default value is x. Vectors: wire or reg can be declared as vectors, if bit width is not specified. Operations can be performed on selected parts of the vectors. Integers: it is a register data type, reg can be used as a general purpose register but in processes like counting it is more convenient to declare them as integer. Real: real numbers are also a register data type and is declared using the keyword real. Time: Verilog simulation is done w.r.t. simulation time. A special time register is used to save the simulation time. The width of the time register data type is implementation-specific but is at least 64 bits. The system function $time is invoked to get the current simulation time. Arrays: Arrays are data types to increase the size of register data type and can be used to create multidimensional matrices. Memories: In most cases when RAMs and ROMs are designed by digital designers. For Verilog, memories are modelled as one dimensional array of registers. Each element of the array is known as the element or the word, which is of more than one bits. And is addressed by a single array index. A particular word in the memory is obtained by using the address as a memory in the subscript. Parameters: parameter allows constants to be defined in Verilog. They cannot be used as variables. Parameter values for each module instance can be overridden individually at compile time. Parameter size and type can also be defined. Strings: Strings can be stored in the reg data type. The width must be large enough to hold the string. If the width is larger than the size of the string, it fills bits to the left of the string with ZEROS. If the width is smaller than the string, it truncates leftmost bits of the string. 3.3 SYSTEM TASKS     Notes: Verilog Part-1 $display: This is the main system task for displaying values of variables or strings or expressions. $monitor: This is used to monitor a signal when its value changes. $monitoron enables monitoring whereas $monitoroff disables it. $stop: The $stop task puts the simulation in an interactive mode. This is mainly used for debugging. The designer can suspend the simulation and examine the value of the signals. $finish: This terminates the simulation. Prepared By: Jay Baxi
  • 4. 4|Page 3.4 COMPILER DIRECTIVES   Notes: Verilog Part-1 `define: This is similar to #define construct in C. It defines text macros in Verilog. The compiler substitutes the text of the macro whenever it encounters <macro_name> ‘include: This is used to include header files or other Verilog source files which contain global or commonly used definitions. Prepared By: Jay Baxi