SlideShare una empresa de Scribd logo
1 de 47
ETE444 :: Lecture 6NanoFabrication Dr. MashiurRahman
Limitations of Photolithography Current photolithography techniques used in microelectronics manufacturing use a projection printing system (known as a stepper). In this system, the image of the mask is reduced and projected, via a high numerical aperture lens system, onto a thin film of photoresist that has been spin coated onto a wafer. The resolution that the stepper is capable of is based on optical diffraction limits set in the Rayleigh equation . In the Rayleigh equation, k1 is a constant that is dependent on the photoresist, λ is the wavelength of the light source, and NA is the numerical aperture of the lens. The minimum feature size that can be achieved with this technique is approximately the wavelength of the light used, λ; although theoretically, the lower limit is λ /2. So, in order to produce micro- or nanoscaled patterns and structures, light sources with shorter wavelengths must be used. This also makes manufacturing more difficult and expensive.
Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
Electron Beam Lithography ,[object Object]
Applications
ElectronBeamWritingtool
Advantages
Limitations,[object Object]
Applications of Electron Beam Lithography Research 	- Nanopatterning on Nanoparticles 	- Nanowires 	- Nanopillars 	- Gratings 	- Micro Ring Resonators 	- Nanofluidic Channels Industrial / Commercial 	- Exposure Masks for Optical Lithography 	- Writing features
Examples Bragg-Fresnel lens for x-rays Paul ScherrerInstitute
Suspended AuPd wires made by standard e-beam lithography and etching techniques. The inset is a blowup view of one of the wires. The scale bar is 1 micron.
SEM images of multi-layer line-array structures made of electron-beam sensitive polymers. These structure can serve as 3D photonic crystals (upper-left image) and quasi-3D suspending slab photonic crystals (lower-right image). The structures were fabricated by e-beam lithography with single- step 100keV-exposure, and multiple-development steps.
Scanning electron microscopy image of a regular and homogeneous assembly of GaAsnanowires. The nanowire growth is catalyzed by a 2D array of Au dots defined by e-beam lithography.
Electron BeamWrite An electron gun or electron source that supplies the electrons.  An electron column that 'shapes' and focuses the electron beam.  A mechanical stage that positions the wafer under the electron beam.  A wafer handling system that automatically feeds wafers to the system and unloads them after processing.  A computer system that controls the equipment.
Electron energy deposition in matter Electron trajectories in resist: An incident electron (purple) produces secondary electrons (blue). Sometimes, the incident electron may itself be backscattered as shown here and leave the surface of the resist (amber).
EBL resists Importantparameters ,[object Object]
Sensitivity (C/cm^2)PMMA has extremely high resolution, and its ultimate resolution has been demonstrated to be less than 10 nm. But its major problems are its relatively poor sensitivity, poor dry etch resistance, and moderate thermal stability. Electron beam exposure breaking the polymer into fragments Recent progress in electron-beam resists for advanced mask-making by D.R.Medeiros, A.Aviram, C.R.Guarnieri, W.S.Huang, R.Kwong, C.K.Magg, A.P.Mahorowala, W.M.Moreau, K.E.Petrillo, and M.Angelopoulos
Advantages High resolution down to 5 nm Useful design tool direct write allows for quick pattern changes (no masks are needed)
Limitation Cost (up to $6 –10 million for hardware) Direct write has low throughput slow and expensive E-beam lithography is not suitable for high-volume manufacturing because of its limited throughput.  The serial nature of electron beam writing makes for very slow pattern generation compared with a parallel technique like photolithography (the current standard) in which the entire surface is patterned at once.  To pattern a single wafer with an electron beam lithography system for sub-100 nm resolution, it would typically take days, compared to the few minutes it would take with a photolithography system. Currently an optical maskless lithographytool is much faster than an electron beam tool used at the same resolution for photomask patterning.
Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
Soft Lithography Introduction Nanoimprint Lithography Micro contact printing (μCP)
Introduction Soft lithography is called ‘‘soft’’ because an elastomeric stamp or mold is the part that transfers patterns to the substrate and this method uses flexible organic molecules and materials rather than the rigid inorganic materials commonly used during the fabrication of microelectronic systems.  This process, developed by George Whitesides, does not depend on a resist layer to transfer a pattern onto the substrate. Soft lithography can produce micropatterns of self-assembled monolayers (SAMs) through contact printing or form microstructures in materials through imprinting (embossing) or replica molding.
Nanoimprint lithography (NIL) Nanoimprint lithography (NIL) has primarily been used to emboss hard thermoplastic polymers. The micromolding and embossing of elastomers has attracted considerable interest as these materials have found important applications in softlithographic techniques such as microcontact printing (µCP).  In this technique, a monolayer of a material is printed off an elastomeric stamp [made of poly(dimethylsiloxane) (PDMS)] after forming conformal contact between stamp and substrate. Sub-micron surface relief structures can easily be introduced in PDMS by curing the polymers against a lithographically prepared master.  Feature sizes in the 10–100 nm size range.  After imprinting the polymer film, further etching can transfer the pattern into the underlying substrate. Alternatively, metal evaporation and lift-off of the polymer mask produces nanopattern metal features.
Advantages Nanoimprint lithography (NIL) has the potential of high-throughput due to the parallel processing, does not require sophisticated tools, and allows nanoscale replication for data storage.  NIL is also compatible with conventional device processing techniques. The quality of the nanoimprinting process depends on a number of experimental parameters like T, viscosity in the melt, adhesion of the polymer to the mold, etc.
NanoimprintLithography R. Waser (ed.), Nanoelectronicsand Information Technology, Chapter 9
NanoimprintLithographyPatterns
Micro contact printing (μCP)  Micro contact printing (or μCP) uses the relief patterns on a PDMS stamp to form patterns of self-assembled monolayers (SAMs) of inks on the surface of a substrate through conformal contact. Micro contact printing differs from other printing methods, like inkjet printing or 3D printing, in the use of self-assembly (especially, the use of SAMs) to form micro patterns and microstructures of various materials. The advantage of µCP is the ability to pattern surfaces chemically at the sub-micron level.
μCP  process An elastomeric stamp is inked with small molecules (thiols or silanes) and pressed against a clean substrate (gold or silicon wafer). Where the stamp is in contact with the surface, a monolayer of material is transferred to the substrate. A second thiol or silane is used to fill in the background to provide a chemically patterned surface.
ODT from the solution settles down onto the PDMS stamp. Stamp now has ODT attached to it which acts as the ink. "Inking" a stamp. PDMS stamp with pattern is placed in Ethanol and ODT solution The PDMS stamp with the ODT is placed on the gold substrate. When the stamp is removed, the ODT in contact with the gold stays stuck to the gold. Thus the pattern from the stamp is transferred to the gold via the ODT "ink." Sarfus image of streptavidin deposited by soft lithography with PDMS stamp.
Stamps
Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
Scanned Probe Techniques SPM systems are capable of controlling the movement of an atomically sharp tip in close proximity to or in contact with a surface with subnanometer accuracy.  Scanning Probe Induced Oxidation Dip Pen Lithography
STM AFM
Local oxidation nanolithography  ,[object Object]
In 1993 it was demonstrated that local oxidation experiments could be performed with an atomic force microscope.Local oxidation nanolithography (LON) is sometimes called scanning probe oxidation, nano-oxidation, local anodic oxidation or generically AFM lithography.
Examples of local oxidation nanopatterns. (a) Periodic array of 10 nm silicon oxide dots. The lattice spacing is 40 nm. (b) Alternating insulating (bright) and semiconducting rings. (c) First paragraph of Don Quixote .
Scanning Probe Induced Oxidation Nanometer-scale local oxidation of various materials can be achieved using scanning probes operated in air and biased at a sufficiently high voltage. Tip bias of −2 to −10V is normally used with writing speeds of 0.1–100μm/s in an ambient humidity of 20–40%.  It is believed that the water meniscus formed at the contact point serves as an electrolyte such that the biased tip anodically oxidizes a small region of the surface.
Scanning Probe Resist Exposure and Lithography Electrons emitted from a biased SPM tip can be used to expose a resist the same way e-beam lithography does. Various systems have been used for this lithographic technique. These include constant current STM, noncontact AFM, and AFM with constant tip-resist force and constant current.
Dip Pen Lithography Dip pen lithography is a type of scanning probe lithography. In this lithographic technique, the tip of an atomic force microscope (AFM) is used to create micro- and nanoscaled structures by depositing material onto a substrate. The AFMtip delivers the molecules to the substrate surface using a solvent meniscus that forms in ambient atmospheres. Structures with features ranging from several hundreds of nanometers to sub-50 nm can be generated using this technique
This image was written using Dip-Pen Nanolithography, and imaged using lateral force microscopy mode of an atomic force microscope. Courtesy the Mirkin Group, Northwestern University. From "There's Plenty of Room at the Bottom" By Professor Richard P. Feynman, December 29th, 1959.
Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
Self-Assembly and Template Manufacturing Nanopatterning of self-assembled monolayers Template growth of organic and biological structures onto nanopatterns

Más contenido relacionado

La actualidad más candente

Fabrication of Nanostructures
Fabrication of NanostructuresFabrication of Nanostructures
Fabrication of Nanostructurestabirsir
 
Lithographic techniques
Lithographic techniquesLithographic techniques
Lithographic techniquesAshvinthK
 
Nanoimprint lithography (NIL)
 Nanoimprint lithography (NIL) Nanoimprint lithography (NIL)
Nanoimprint lithography (NIL)Preeti Choudhary
 
EUV Lithography Final
EUV Lithography FinalEUV Lithography Final
EUV Lithography FinalEhud Ben Ari
 
An assignment lithography
An assignment lithographyAn assignment lithography
An assignment lithographySagar Dutta
 
Nanofabrication techniques
Nanofabrication techniquesNanofabrication techniques
Nanofabrication techniquesMohshina Afrooz
 
Lithography basics`
Lithography basics`Lithography basics`
Lithography basics`Kunal Roy
 
Extreme uv lithography
Extreme uv lithographyExtreme uv lithography
Extreme uv lithographyZUNAIR ARSLAN
 
Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques alqohilihamed
 
A brief description of photolithography
A brief description of photolithographyA brief description of photolithography
A brief description of photolithographyshashi kant
 
Designing a low cost UV-Exposure System for Optical Microlithography
Designing a low cost UV-Exposure System for Optical MicrolithographyDesigning a low cost UV-Exposure System for Optical Microlithography
Designing a low cost UV-Exposure System for Optical MicrolithographySushenDhali
 
Lithography techniques,types
Lithography techniques,typesLithography techniques,types
Lithography techniques,typesANJANI S
 
Lithography fabrication ppt
Lithography fabrication pptLithography fabrication ppt
Lithography fabrication pptAvinash Jadhav
 

La actualidad más candente (20)

Fabrication of Nanostructures
Fabrication of NanostructuresFabrication of Nanostructures
Fabrication of Nanostructures
 
Lithographic techniques
Lithographic techniquesLithographic techniques
Lithographic techniques
 
Nanoimprint lithography (NIL)
 Nanoimprint lithography (NIL) Nanoimprint lithography (NIL)
Nanoimprint lithography (NIL)
 
Nano lithography techniques
Nano lithography techniquesNano lithography techniques
Nano lithography techniques
 
2170508 150110105053,54
2170508 150110105053,542170508 150110105053,54
2170508 150110105053,54
 
EUV Lithography Final
EUV Lithography FinalEUV Lithography Final
EUV Lithography Final
 
An assignment lithography
An assignment lithographyAn assignment lithography
An assignment lithography
 
Photomask Fabrication
Photomask FabricationPhotomask Fabrication
Photomask Fabrication
 
Nanofabrication techniques
Nanofabrication techniquesNanofabrication techniques
Nanofabrication techniques
 
Lithography basics`
Lithography basics`Lithography basics`
Lithography basics`
 
Extreme uv lithography
Extreme uv lithographyExtreme uv lithography
Extreme uv lithography
 
Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques
 
A brief description of photolithography
A brief description of photolithographyA brief description of photolithography
A brief description of photolithography
 
Designing a low cost UV-Exposure System for Optical Microlithography
Designing a low cost UV-Exposure System for Optical MicrolithographyDesigning a low cost UV-Exposure System for Optical Microlithography
Designing a low cost UV-Exposure System for Optical Microlithography
 
Lithography techniques,types
Lithography techniques,typesLithography techniques,types
Lithography techniques,types
 
Alignment and Exposure System
Alignment and Exposure System Alignment and Exposure System
Alignment and Exposure System
 
Nano fabrication for beginner
Nano fabrication for beginner Nano fabrication for beginner
Nano fabrication for beginner
 
Pbg atal fdp
Pbg atal fdpPbg atal fdp
Pbg atal fdp
 
Lithography fabrication ppt
Lithography fabrication pptLithography fabrication ppt
Lithography fabrication ppt
 
Ptf V8
Ptf V8Ptf V8
Ptf V8
 

Destacado

Syeda Farhana Shirin Id#062681056
Syeda Farhana Shirin  Id#062681056Syeda Farhana Shirin  Id#062681056
Syeda Farhana Shirin Id#062681056mashiur
 
Ete411 Lec3
Ete411 Lec3Ete411 Lec3
Ete411 Lec3mashiur
 
Ete411 Lec3
Ete411 Lec3Ete411 Lec3
Ete411 Lec3mashiur
 
ETE405-lec7.pptx
ETE405-lec7.pptxETE405-lec7.pptx
ETE405-lec7.pptxmashiur
 
Ete411 Lec14
Ete411 Lec14Ete411 Lec14
Ete411 Lec14mashiur
 
ETE444-lec4-Carbon Nanotubes.pdf
ETE444-lec4-Carbon Nanotubes.pdfETE444-lec4-Carbon Nanotubes.pdf
ETE444-lec4-Carbon Nanotubes.pdfmashiur
 
ETE405-lec9.pdf
ETE405-lec9.pdfETE405-lec9.pdf
ETE405-lec9.pdfmashiur
 

Destacado (8)

Syeda Farhana Shirin Id#062681056
Syeda Farhana Shirin  Id#062681056Syeda Farhana Shirin  Id#062681056
Syeda Farhana Shirin Id#062681056
 
Ete411 Lec3
Ete411 Lec3Ete411 Lec3
Ete411 Lec3
 
Ete411 Lec3
Ete411 Lec3Ete411 Lec3
Ete411 Lec3
 
Whizle Demo
Whizle DemoWhizle Demo
Whizle Demo
 
ETE405-lec7.pptx
ETE405-lec7.pptxETE405-lec7.pptx
ETE405-lec7.pptx
 
Ete411 Lec14
Ete411 Lec14Ete411 Lec14
Ete411 Lec14
 
ETE444-lec4-Carbon Nanotubes.pdf
ETE444-lec4-Carbon Nanotubes.pdfETE444-lec4-Carbon Nanotubes.pdf
ETE444-lec4-Carbon Nanotubes.pdf
 
ETE405-lec9.pdf
ETE405-lec9.pdfETE405-lec9.pdf
ETE405-lec9.pdf
 

Similar a ETE444-lec6-nanofabrication.pptx

ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfmashiur
 
Surface_engineering_oppotunities2007
Surface_engineering_oppotunities2007Surface_engineering_oppotunities2007
Surface_engineering_oppotunities2007Boris Kobrin
 
unit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxunit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxAasthaShukla24
 
Metallization techniques for high efficiency solar cells
Metallization techniques for high efficiency solar cellsMetallization techniques for high efficiency solar cells
Metallization techniques for high efficiency solar cellsMehul Raval
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithographyRohan Deokar
 
556 2nd presentation by teja
556 2nd presentation by teja556 2nd presentation by teja
556 2nd presentation by tejaTejasri Saladi
 
ETE444-lec5-micro-fabrication.pptx
ETE444-lec5-micro-fabrication.pptxETE444-lec5-micro-fabrication.pptx
ETE444-lec5-micro-fabrication.pptxmashiur
 
ETE444-lec5-micro-fabrication.pptx
ETE444-lec5-micro-fabrication.pptxETE444-lec5-micro-fabrication.pptx
ETE444-lec5-micro-fabrication.pptxmashiur
 
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERSMODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERSjmicro
 
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERSMODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERSjmicro
 
A Study of Pulse by Pulse Microscale Patch Transfer Using Picosecond Laser
A Study of Pulse by Pulse Microscale Patch Transfer Using Picosecond LaserA Study of Pulse by Pulse Microscale Patch Transfer Using Picosecond Laser
A Study of Pulse by Pulse Microscale Patch Transfer Using Picosecond LaserIJERA Editor
 
YHe-MT525
YHe-MT525YHe-MT525
YHe-MT525Yang He
 
Singularit University presentation Nanotechnology nextbigfuture.com
Singularit University presentation Nanotechnology nextbigfuture.comSingularit University presentation Nanotechnology nextbigfuture.com
Singularit University presentation Nanotechnology nextbigfuture.comBrian Wang
 

Similar a ETE444-lec6-nanofabrication.pptx (20)

ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
Surface_engineering_oppotunities2007
Surface_engineering_oppotunities2007Surface_engineering_oppotunities2007
Surface_engineering_oppotunities2007
 
unit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxunit3 VLSITechnology.pptx
unit3 VLSITechnology.pptx
 
Metallization techniques for high efficiency solar cells
Metallization techniques for high efficiency solar cellsMetallization techniques for high efficiency solar cells
Metallization techniques for high efficiency solar cells
 
Nanolithography
NanolithographyNanolithography
Nanolithography
 
Nanotechnology-3.docx
Nanotechnology-3.docxNanotechnology-3.docx
Nanotechnology-3.docx
 
Nanotechnology-2.docx
Nanotechnology-2.docxNanotechnology-2.docx
Nanotechnology-2.docx
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
556 2nd presentation by teja
556 2nd presentation by teja556 2nd presentation by teja
556 2nd presentation by teja
 
Holographic printing
Holographic printingHolographic printing
Holographic printing
 
ETE444-lec5-micro-fabrication.pptx
ETE444-lec5-micro-fabrication.pptxETE444-lec5-micro-fabrication.pptx
ETE444-lec5-micro-fabrication.pptx
 
ETE444-lec5-micro-fabrication.pptx
ETE444-lec5-micro-fabrication.pptxETE444-lec5-micro-fabrication.pptx
ETE444-lec5-micro-fabrication.pptx
 
Approaches of nanoelectronics
Approaches of nanoelectronicsApproaches of nanoelectronics
Approaches of nanoelectronics
 
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERSMODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
 
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERSMODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
MODELING STUDY OF LASER BEAM SCATTERING BY DEFECTS ON SEMICONDUCTOR WAFERS
 
A Study of Pulse by Pulse Microscale Patch Transfer Using Picosecond Laser
A Study of Pulse by Pulse Microscale Patch Transfer Using Picosecond LaserA Study of Pulse by Pulse Microscale Patch Transfer Using Picosecond Laser
A Study of Pulse by Pulse Microscale Patch Transfer Using Picosecond Laser
 
YHe-MT525
YHe-MT525YHe-MT525
YHe-MT525
 
Sweden Research Poster 1
Sweden Research Poster 1Sweden Research Poster 1
Sweden Research Poster 1
 
Lithography
LithographyLithography
Lithography
 
Singularit University presentation Nanotechnology nextbigfuture.com
Singularit University presentation Nanotechnology nextbigfuture.comSingularit University presentation Nanotechnology nextbigfuture.com
Singularit University presentation Nanotechnology nextbigfuture.com
 

Más de mashiur

Touseef Kamal062159056
Touseef Kamal062159056Touseef Kamal062159056
Touseef Kamal062159056mashiur
 
Towfique 063382056
Towfique 063382056Towfique 063382056
Towfique 063382056mashiur
 
Tasmiah Binte Zilani (071649556)
Tasmiah Binte Zilani (071649556)Tasmiah Binte Zilani (071649556)
Tasmiah Binte Zilani (071649556)mashiur
 
Sk M Rezaul Karim 072899056
Sk M Rezaul Karim  072899056Sk M Rezaul Karim  072899056
Sk M Rezaul Karim 072899056mashiur
 
Shihab Uddin 062483056
Shihab Uddin  062483056Shihab Uddin  062483056
Shihab Uddin 062483056mashiur
 
Shakhawat Hossain (062364056)
Shakhawat Hossain (062364056)Shakhawat Hossain (062364056)
Shakhawat Hossain (062364056)mashiur
 
Shahriar Khaled 062473056
Shahriar Khaled 062473056Shahriar Khaled 062473056
Shahriar Khaled 062473056mashiur
 
Shahnshah Sarker 072802556
Shahnshah Sarker  072802556Shahnshah Sarker  072802556
Shahnshah Sarker 072802556mashiur
 
Shah M Saklaen 072809056
Shah M Saklaen 072809056Shah M Saklaen 072809056
Shah M Saklaen 072809056mashiur
 
Sayef Almaji (063170056)
Sayef Almaji (063170056)Sayef Almaji (063170056)
Sayef Almaji (063170056)mashiur
 
Shah Md Zobair(063560056)
Shah Md Zobair(063560056)Shah Md Zobair(063560056)
Shah Md Zobair(063560056)mashiur
 
Shahed.Anwar 061708556
Shahed.Anwar 061708556Shahed.Anwar 061708556
Shahed.Anwar 061708556mashiur
 
Sajjad Hossain 071297056
Sajjad Hossain  071297056Sajjad Hossain  071297056
Sajjad Hossain 071297056mashiur
 
S K Ashikur Rahman (072874556)
S K Ashikur Rahman (072874556)S K Ashikur Rahman (072874556)
S K Ashikur Rahman (072874556)mashiur
 
Rumana Rashid Riti (Id 053 507 056)
Rumana Rashid Riti (Id 053 507 056)Rumana Rashid Riti (Id 053 507 056)
Rumana Rashid Riti (Id 053 507 056)mashiur
 
Rumana Akther Id#072842056
Rumana Akther Id#072842056Rumana Akther Id#072842056
Rumana Akther Id#072842056mashiur
 
Ridhwana Mohammad (071403056)
Ridhwana Mohammad (071403056)Ridhwana Mohammad (071403056)
Ridhwana Mohammad (071403056)mashiur
 
Riaz Rahman (072878056)
Riaz Rahman (072878056)Riaz Rahman (072878056)
Riaz Rahman (072878056)mashiur
 
Rubaiyet Rashid Romel (063454056)
Rubaiyet Rashid Romel (063454056)Rubaiyet Rashid Romel (063454056)
Rubaiyet Rashid Romel (063454056)mashiur
 
Rehana Zakia (063411056)
Rehana Zakia (063411056)Rehana Zakia (063411056)
Rehana Zakia (063411056)mashiur
 

Más de mashiur (20)

Touseef Kamal062159056
Touseef Kamal062159056Touseef Kamal062159056
Touseef Kamal062159056
 
Towfique 063382056
Towfique 063382056Towfique 063382056
Towfique 063382056
 
Tasmiah Binte Zilani (071649556)
Tasmiah Binte Zilani (071649556)Tasmiah Binte Zilani (071649556)
Tasmiah Binte Zilani (071649556)
 
Sk M Rezaul Karim 072899056
Sk M Rezaul Karim  072899056Sk M Rezaul Karim  072899056
Sk M Rezaul Karim 072899056
 
Shihab Uddin 062483056
Shihab Uddin  062483056Shihab Uddin  062483056
Shihab Uddin 062483056
 
Shakhawat Hossain (062364056)
Shakhawat Hossain (062364056)Shakhawat Hossain (062364056)
Shakhawat Hossain (062364056)
 
Shahriar Khaled 062473056
Shahriar Khaled 062473056Shahriar Khaled 062473056
Shahriar Khaled 062473056
 
Shahnshah Sarker 072802556
Shahnshah Sarker  072802556Shahnshah Sarker  072802556
Shahnshah Sarker 072802556
 
Shah M Saklaen 072809056
Shah M Saklaen 072809056Shah M Saklaen 072809056
Shah M Saklaen 072809056
 
Sayef Almaji (063170056)
Sayef Almaji (063170056)Sayef Almaji (063170056)
Sayef Almaji (063170056)
 
Shah Md Zobair(063560056)
Shah Md Zobair(063560056)Shah Md Zobair(063560056)
Shah Md Zobair(063560056)
 
Shahed.Anwar 061708556
Shahed.Anwar 061708556Shahed.Anwar 061708556
Shahed.Anwar 061708556
 
Sajjad Hossain 071297056
Sajjad Hossain  071297056Sajjad Hossain  071297056
Sajjad Hossain 071297056
 
S K Ashikur Rahman (072874556)
S K Ashikur Rahman (072874556)S K Ashikur Rahman (072874556)
S K Ashikur Rahman (072874556)
 
Rumana Rashid Riti (Id 053 507 056)
Rumana Rashid Riti (Id 053 507 056)Rumana Rashid Riti (Id 053 507 056)
Rumana Rashid Riti (Id 053 507 056)
 
Rumana Akther Id#072842056
Rumana Akther Id#072842056Rumana Akther Id#072842056
Rumana Akther Id#072842056
 
Ridhwana Mohammad (071403056)
Ridhwana Mohammad (071403056)Ridhwana Mohammad (071403056)
Ridhwana Mohammad (071403056)
 
Riaz Rahman (072878056)
Riaz Rahman (072878056)Riaz Rahman (072878056)
Riaz Rahman (072878056)
 
Rubaiyet Rashid Romel (063454056)
Rubaiyet Rashid Romel (063454056)Rubaiyet Rashid Romel (063454056)
Rubaiyet Rashid Romel (063454056)
 
Rehana Zakia (063411056)
Rehana Zakia (063411056)Rehana Zakia (063411056)
Rehana Zakia (063411056)
 

ETE444-lec6-nanofabrication.pptx

  • 1. ETE444 :: Lecture 6NanoFabrication Dr. MashiurRahman
  • 2. Limitations of Photolithography Current photolithography techniques used in microelectronics manufacturing use a projection printing system (known as a stepper). In this system, the image of the mask is reduced and projected, via a high numerical aperture lens system, onto a thin film of photoresist that has been spin coated onto a wafer. The resolution that the stepper is capable of is based on optical diffraction limits set in the Rayleigh equation . In the Rayleigh equation, k1 is a constant that is dependent on the photoresist, λ is the wavelength of the light source, and NA is the numerical aperture of the lens. The minimum feature size that can be achieved with this technique is approximately the wavelength of the light used, λ; although theoretically, the lower limit is λ /2. So, in order to produce micro- or nanoscaled patterns and structures, light sources with shorter wavelengths must be used. This also makes manufacturing more difficult and expensive.
  • 3. Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
  • 4. Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
  • 5.
  • 9.
  • 10. Applications of Electron Beam Lithography Research - Nanopatterning on Nanoparticles - Nanowires - Nanopillars - Gratings - Micro Ring Resonators - Nanofluidic Channels Industrial / Commercial - Exposure Masks for Optical Lithography - Writing features
  • 11. Examples Bragg-Fresnel lens for x-rays Paul ScherrerInstitute
  • 12. Suspended AuPd wires made by standard e-beam lithography and etching techniques. The inset is a blowup view of one of the wires. The scale bar is 1 micron.
  • 13. SEM images of multi-layer line-array structures made of electron-beam sensitive polymers. These structure can serve as 3D photonic crystals (upper-left image) and quasi-3D suspending slab photonic crystals (lower-right image). The structures were fabricated by e-beam lithography with single- step 100keV-exposure, and multiple-development steps.
  • 14. Scanning electron microscopy image of a regular and homogeneous assembly of GaAsnanowires. The nanowire growth is catalyzed by a 2D array of Au dots defined by e-beam lithography.
  • 15. Electron BeamWrite An electron gun or electron source that supplies the electrons. An electron column that 'shapes' and focuses the electron beam. A mechanical stage that positions the wafer under the electron beam. A wafer handling system that automatically feeds wafers to the system and unloads them after processing. A computer system that controls the equipment.
  • 16. Electron energy deposition in matter Electron trajectories in resist: An incident electron (purple) produces secondary electrons (blue). Sometimes, the incident electron may itself be backscattered as shown here and leave the surface of the resist (amber).
  • 17.
  • 18. Sensitivity (C/cm^2)PMMA has extremely high resolution, and its ultimate resolution has been demonstrated to be less than 10 nm. But its major problems are its relatively poor sensitivity, poor dry etch resistance, and moderate thermal stability. Electron beam exposure breaking the polymer into fragments Recent progress in electron-beam resists for advanced mask-making by D.R.Medeiros, A.Aviram, C.R.Guarnieri, W.S.Huang, R.Kwong, C.K.Magg, A.P.Mahorowala, W.M.Moreau, K.E.Petrillo, and M.Angelopoulos
  • 19. Advantages High resolution down to 5 nm Useful design tool direct write allows for quick pattern changes (no masks are needed)
  • 20. Limitation Cost (up to $6 –10 million for hardware) Direct write has low throughput slow and expensive E-beam lithography is not suitable for high-volume manufacturing because of its limited throughput. The serial nature of electron beam writing makes for very slow pattern generation compared with a parallel technique like photolithography (the current standard) in which the entire surface is patterned at once. To pattern a single wafer with an electron beam lithography system for sub-100 nm resolution, it would typically take days, compared to the few minutes it would take with a photolithography system. Currently an optical maskless lithographytool is much faster than an electron beam tool used at the same resolution for photomask patterning.
  • 21. Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
  • 22. Soft Lithography Introduction Nanoimprint Lithography Micro contact printing (μCP)
  • 23. Introduction Soft lithography is called ‘‘soft’’ because an elastomeric stamp or mold is the part that transfers patterns to the substrate and this method uses flexible organic molecules and materials rather than the rigid inorganic materials commonly used during the fabrication of microelectronic systems. This process, developed by George Whitesides, does not depend on a resist layer to transfer a pattern onto the substrate. Soft lithography can produce micropatterns of self-assembled monolayers (SAMs) through contact printing or form microstructures in materials through imprinting (embossing) or replica molding.
  • 24. Nanoimprint lithography (NIL) Nanoimprint lithography (NIL) has primarily been used to emboss hard thermoplastic polymers. The micromolding and embossing of elastomers has attracted considerable interest as these materials have found important applications in softlithographic techniques such as microcontact printing (µCP). In this technique, a monolayer of a material is printed off an elastomeric stamp [made of poly(dimethylsiloxane) (PDMS)] after forming conformal contact between stamp and substrate. Sub-micron surface relief structures can easily be introduced in PDMS by curing the polymers against a lithographically prepared master. Feature sizes in the 10–100 nm size range. After imprinting the polymer film, further etching can transfer the pattern into the underlying substrate. Alternatively, metal evaporation and lift-off of the polymer mask produces nanopattern metal features.
  • 25. Advantages Nanoimprint lithography (NIL) has the potential of high-throughput due to the parallel processing, does not require sophisticated tools, and allows nanoscale replication for data storage. NIL is also compatible with conventional device processing techniques. The quality of the nanoimprinting process depends on a number of experimental parameters like T, viscosity in the melt, adhesion of the polymer to the mold, etc.
  • 26.
  • 27. NanoimprintLithography R. Waser (ed.), Nanoelectronicsand Information Technology, Chapter 9
  • 29. Micro contact printing (μCP) Micro contact printing (or μCP) uses the relief patterns on a PDMS stamp to form patterns of self-assembled monolayers (SAMs) of inks on the surface of a substrate through conformal contact. Micro contact printing differs from other printing methods, like inkjet printing or 3D printing, in the use of self-assembly (especially, the use of SAMs) to form micro patterns and microstructures of various materials. The advantage of µCP is the ability to pattern surfaces chemically at the sub-micron level.
  • 30. μCP process An elastomeric stamp is inked with small molecules (thiols or silanes) and pressed against a clean substrate (gold or silicon wafer). Where the stamp is in contact with the surface, a monolayer of material is transferred to the substrate. A second thiol or silane is used to fill in the background to provide a chemically patterned surface.
  • 31. ODT from the solution settles down onto the PDMS stamp. Stamp now has ODT attached to it which acts as the ink. "Inking" a stamp. PDMS stamp with pattern is placed in Ethanol and ODT solution The PDMS stamp with the ODT is placed on the gold substrate. When the stamp is removed, the ODT in contact with the gold stays stuck to the gold. Thus the pattern from the stamp is transferred to the gold via the ODT "ink." Sarfus image of streptavidin deposited by soft lithography with PDMS stamp.
  • 33. Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
  • 34. Scanned Probe Techniques SPM systems are capable of controlling the movement of an atomically sharp tip in close proximity to or in contact with a surface with subnanometer accuracy. Scanning Probe Induced Oxidation Dip Pen Lithography
  • 36.
  • 37. In 1993 it was demonstrated that local oxidation experiments could be performed with an atomic force microscope.Local oxidation nanolithography (LON) is sometimes called scanning probe oxidation, nano-oxidation, local anodic oxidation or generically AFM lithography.
  • 38. Examples of local oxidation nanopatterns. (a) Periodic array of 10 nm silicon oxide dots. The lattice spacing is 40 nm. (b) Alternating insulating (bright) and semiconducting rings. (c) First paragraph of Don Quixote .
  • 39. Scanning Probe Induced Oxidation Nanometer-scale local oxidation of various materials can be achieved using scanning probes operated in air and biased at a sufficiently high voltage. Tip bias of −2 to −10V is normally used with writing speeds of 0.1–100μm/s in an ambient humidity of 20–40%. It is believed that the water meniscus formed at the contact point serves as an electrolyte such that the biased tip anodically oxidizes a small region of the surface.
  • 40. Scanning Probe Resist Exposure and Lithography Electrons emitted from a biased SPM tip can be used to expose a resist the same way e-beam lithography does. Various systems have been used for this lithographic technique. These include constant current STM, noncontact AFM, and AFM with constant tip-resist force and constant current.
  • 41. Dip Pen Lithography Dip pen lithography is a type of scanning probe lithography. In this lithographic technique, the tip of an atomic force microscope (AFM) is used to create micro- and nanoscaled structures by depositing material onto a substrate. The AFMtip delivers the molecules to the substrate surface using a solvent meniscus that forms in ambient atmospheres. Structures with features ranging from several hundreds of nanometers to sub-50 nm can be generated using this technique
  • 42.
  • 43.
  • 44.
  • 45. This image was written using Dip-Pen Nanolithography, and imaged using lateral force microscopy mode of an atomic force microscope. Courtesy the Mirkin Group, Northwestern University. From "There's Plenty of Room at the Bottom" By Professor Richard P. Feynman, December 29th, 1959.
  • 46. Nano Fabrication Electron Beam Lithography Soft Lithography Scanned Probe Techniques Self-Assembly and Template Manufacturing
  • 47. Self-Assembly and Template Manufacturing Nanopatterning of self-assembled monolayers Template growth of organic and biological structures onto nanopatterns
  • 48. Nanopatterning of self-assembled monolayers Self-assembly, chemical functionality and nanopatterning are concepts very akin to nanotechnology, so it is not surprising to discover various approaches to modify self-assembled monolayers or to induce a selective self assembly process by LON. Sugimura and co-workers pioneered the protocol to generated coplanar nanostructures consisting of two different types of self-assembled monolayers (SAM).
  • 49. Scheme of the hierarchical self-assembled approach developed by Sagivet al. SAM on Si substrate. Patterned SAM by local oxidation of methyl terminated groups. and (d) Different steps in the formation of a second monolayer in the patterned region. The transformation of the vinyl-terminated overlayer in amino-terminated requires the reaction of NTS groups with formamide and its further reduction with BH3.THF.
  • 50. Template growth of organic and biological structures onto nanopatterns Developing methods that allow the deposition of small functional molecules at pre-determined positions on a substrate is one of the exciting challenges for alternative nanolithographies. In this section we illustrate the potential of LON in this topic by describing three applications, fabrication of gold patterns and nanowires onto SAM templates, patterning of proteins (ferritin) and fabrication of conjugated molecular tracks and nanowires.
  • 51. Template-guided self-assembly of gold nanoparticles on a organosilanebilayer template fabricated according to the scheme of (a) Template bilayer. (b) Deposition of water-soluble (Au-citrate) colloidal particles on amino-terminated template patterns. (c) Fabrication of gold electrodes and wires. (d) Patterning of a Picasso drawing. The patterning was carried out with a 800 × 800 raster-scanned points at 3.3 ms per point and by applying a tip-surface voltage of 8.5 V.
  • 52. Thanks Questions. Quiz will be on the next day: Lec 5 & Lec 6