SlideShare una empresa de Scribd logo
1 de 13
Descargar para leer sin conexión
vasanza
SISTEMAS DIGITALES 1
EXAMEN 1P
Fecha: 2021/04/01 PAE 2021-2022
Nombre: _________________________________________ Paralelo: __________
Criterios con los que se calificará este examen:
• Las preguntas de desarrollo solo obtendrán la máxima calificación si su respuesta presenta un
desarrollo a mano, claro, correcto, con sus respectivos nombres en cada hoja y haciendo uso de los
criterios vistos en clase002E
• La pregunta cuya opción múltiple esté correctamente seleccionada y además se presente el
respectivo desarrollo para llegar a la respuesta correcta (utilizando los conceptos vistos en clase),
será la pregunta que obtendrá la máxima calificación.
• Si la pregunta tiene seleccionada correctamente la opción múltiple y NO presenta el desarrollo o
con un desarrollo INCORRECTO, tendrá una calificación de CERO.
• EL estudiante deberá subir el desarrollo de la evaluación como carga de archivo. Solo en caso de
presentar problemas al momento de cargar su desarrollo, se permitirá enviarlo por email
(vasanza@espol.edu.ec) y será considerado en la calificación si y solo si es enviado durante el
tiempo que dura la evaluación.
Recomendación:
• Además, se sugiere enviar el desarrollo de la evaluación via correo electrónico, como respaldo
(Durante el tiempo que dure la evaluación).
Problema #1 (30%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como
entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente
imagen:
El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada, es descrito con el siguiente
código VHDL:
vasanza
Realizar los siguientes desarrollos:
a) Usando mapas de karnaught y agrupamiento de minterms, simplicar la expresión booleana al
mínimo (15p).
b) Utilizando puertas lógicas, graficar el circuito que represente a la ecuación simplificada en el literal
anterior (15p).
Resolución:
a) b)
Problema #2 (30%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como
entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente
imagen:
El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada, es descrito con el siguiente
código VHDL:
vasanza
Realizar los siguientes desarrollos:
a) Usando mapas de karnaught y agrupamiento de minterms, simplicar la expresión booleana al
mínimo (15p).
b) Utilizando puertas lógicas, graficar el circuito que represente a la ecuación simplificada en el literal
anterior (15p).
Resolución:
a) b)
Problema #3 (30%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como
entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente
imagen:
El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada, es descrito con el siguiente
código VHDL:
vasanza
Realizar los siguientes desarrollos:
a) Usando mapas de karnaught y agrupamiento de minterms, simplicar la expresión booleana al
mínimo (15p).
b) Utilizando puertas lógicas, graficar el circuito que represente a la ecuación simplificada en el literal
anterior (15p).
Resolución:
a) b)
Problema #4 (30%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como
entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente
imagen:
El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada, es descrito con el siguiente
código VHDL:
vasanza
Realizar los siguientes desarrollos:
a) Usando mapas de karnaught y agrupamiento de minterms, simplicar la expresión booleana al
mínimo (15p).
b) Utilizando puertas lógicas, graficar el circuito que represente a la ecuación simplificada en el literal
anterior (15p).
Resolución:
a) b)
Problema #5 (x%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como
entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente
imagen:
El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada es la siguiente:
Para describir el comportamiento del sistema, se propone utilizar el siguiente código VHDL que está
incompleto:
Y(A,B,C,D) = ∑ (9,10,12,15)
𝑚
vasanza
Dadas las siguientes opciones, indicar cuál es la correcta asignación de señal para X0, X1, X2 y X3:
a) with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others;
b) with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others;
c) with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others;
d) with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others;
e) with A&B&C&D select Y<= ‘1’ when “0100”|“0111” |“1000” |“1011”, ‘0’ when others;
f) with A&B&C&D select Y<= ‘1’ when “0101”|“0110” |“1001” |“1010”, ‘0’ when others;
Resolución:
with A&B&C&D select
Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”,
‘0’ when others;
Problema #6 (x%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como
entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente
imagen:
El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada es la siguiente:
Para describir el comportamiento del sistema, se propone utilizar el siguiente código VHDL que está
incompleto:
Y(A,B,C,D) = ∑ (8,11,13,14)
𝑚
vasanza
Dadas las siguientes opciones, indicar cuál es la correcta asignación de señal para X0, X1, X2 y X3:
a) with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others;
b) with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others;
c) with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others;
d) with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others;
e) with A&B&C&D select Y<= ‘1’ when “0100”|“0111” |“1000” |“1011”, ‘0’ when others;
f) with A&B&C&D select Y<= ‘1’ when “0101”|“0110” |“1001” |“1010”, ‘0’ when others;
Resolución:
with A&B&C&D select
Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”,
‘0’ when others;
Problema #7 (x%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como
entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente
imagen:
El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada es la siguiente:
Para describir el comportamiento del sistema, se propone utilizar el siguiente código VHDL que está
incompleto:
Y(A,B,C,D) = ∑ (0,3,12,15)
𝑚
vasanza
Dadas las siguientes opciones, indicar cuál es la correcta asignación de señal para X0, X1, X2 y X3:
a) with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others;
b) with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others;
c) with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others;
d) with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others;
e) with A&B&C&D select Y<= ‘1’ when “0100”|“0111” |“1000” |“1011”, ‘0’ when others;
f) with A&B&C&D select Y<= ‘1’ when “0101”|“0110” |“1001” |“1010”, ‘0’ when others;
Resolución:
with A&B&C&D select
Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”,
‘0’ when others;
Problema #8 (x%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como
entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente
imagen:
El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada es la siguiente:
Para describir el comportamiento del sistema, se propone utilizar el siguiente código VHDL que está
incompleto:
Y(A,B,C,D) = ∑ (1,2,13,14)
𝑚
vasanza
Dadas las siguientes opciones, indicar cuál es la correcta asignación de señal para X0, X1, X2 y X3:
a) with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others;
b) with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others;
c) with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others;
d) with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others;
e) with A&B&C&D select Y<= ‘1’ when “0100”|“0111” |“1000” |“1011”, ‘0’ when others;
f) with A&B&C&D select Y<= ‘1’ when “0101”|“0110” |“1001” |“1010”, ‘0’ when others;
Resolución:
with A&B&C&D select
Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”,
‘0’ when others;
Problema #9: (x%)
Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar,
reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar
cuál de las siguientes opciones es la correcta:
F = (𝐴 + 𝐵 + 𝐶 + 𝐷)(𝐴 + 𝐵 + 𝐶̅ + 𝐷)(𝐴 + 𝐵
̅ + 𝐶 + 𝐷)(𝐴 + 𝐵
̅ + 𝐶̅ + 𝐷)
a) 𝑨 + 𝑫
b) 𝑨
̅ + 𝑫
c) 𝑨 + 𝑫
̅
d) 𝑨
̅ + 𝑫
̅
Resolución:
vasanza
Problema #10: (x%)
Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar,
reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar
cuál de las siguientes opciones es la correcta:
F = (𝐴̅ + 𝐵
̅ + 𝐶 + 𝐷)(𝐴̅ + 𝐵
̅ + 𝐶̅ + 𝐷)(𝐴̅ + 𝐵 + 𝐶 + 𝐷)(𝐴̅ + 𝐵 + 𝐶̅ + 𝐷)
a) 𝑨 + 𝑫
b) 𝑨
̅ + 𝑫
c) 𝑨 + 𝑫
̅
d) 𝑨
̅ + 𝑫
̅
Resolución:
Problema #11: (x%)
Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar,
reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar
cuál de las siguientes opciones es la correcta:
F = (𝐴̅ + 𝐵
̅ + 𝐶 + 𝐷
̅)(𝐴̅ + 𝐵
̅ + 𝐶̅ + 𝐷
̅)(𝐴̅ + 𝐵 + 𝐶 + 𝐷
̅)(𝐴̅ + 𝐵 + 𝐶̅ + 𝐷
̅)
a) 𝑨 + 𝑫
b) 𝑨
̅ + 𝑫
c) 𝑨 + 𝑫
̅
d) 𝑨
̅ + 𝑫
̅
Resolución:
vasanza
Problema #12: (x%)
Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar,
reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar
cuál de las siguientes opciones es la correcta:
F = (𝐴 + 𝐵 + 𝐶 + 𝐷
̅)(𝐴 + 𝐵 + 𝐶̅ + 𝐷
̅)(𝐴 + 𝐵
̅ + 𝐶 + 𝐷
̅)(𝐴 + 𝐵
̅ + 𝐶̅ + 𝐷
̅)
a) 𝑨 + 𝑫
b) 𝑨
̅ + 𝑫
c) 𝑨 + 𝑫
̅
d) 𝑨
̅ + 𝑫
̅
Resolución:
Problema #13: (x%)
Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar,
reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar
cuál de las siguientes opciones es la correcta:
F = (𝐴 + 𝐵 + 𝐶 + 𝐷)(𝐴 + 𝐵 + 𝐶 + 𝐷
̅)(𝐴̅ + 𝐵 + 𝐶 + 𝐷)(𝐴̅ + 𝐵 + 𝐶 + 𝐷
̅)
a) 𝑪 + 𝑩
b) 𝑪
̅ + 𝑩
c) 𝑪 + 𝑩
̅
d) 𝑪
̅ + 𝑩
̅
Resolución:
vasanza
Problema #14: (x%)
Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar,
reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar
cuál de las siguientes opciones es la correcta:
F = (𝐴 + 𝐵 + 𝐶̅ + 𝐷
̅)(𝐴 + 𝐵 + 𝐶̅ + 𝐷)(𝐴̅ + 𝐵 + 𝐶̅ + 𝐷
̅)(𝐴̅ + 𝐵 + 𝐶̅ + 𝐷)
a) 𝑪 + 𝑩
b) 𝑪
̅ + 𝑩
c) 𝑪 + 𝑩
̅
d) 𝑪
̅ + 𝑩
̅
Resolución:
Problema #15: (30%)
Se desea diseñar un Sistemas Digital que capaz de controlar dos actuadores tipo bomba (A y B) en función
del nivel de agua presente en un tanque. Este nivel de agua se monitorea con dos sensores (S0 y S1). El
Sistemas Digital se muestra en la siguiente gráfica:
vasanza
El funcionamiento del sistema digital se detalla a continuación:
• El caudal de entrada de agua se abre (A=1) o se cierra (A=0) con el ánimo de controlar el nivel del
agua presente en el tanque. Si el nivel del agua es el Mínimo (S1 = 0 y S0 = 1) o menor al mínimo
(S1=0 y S0=0) entonces el actuador tipo bomba (A) debe ser abierto (A = 1); por otro lado, si el
nivel del agua es el Máximo (S1 = 1 y S0 = 1) entonces el actuador tipo bomba (A) debe ser cerrado
(A = 0).
• El caudal de salida debe estar abierto (B=1) siempre y cuando el tanque de agua tenga un nivel de
agua entre el máximo y el mínimo (S1 =0 y S0 =1) o (S1 =1 y S0 =1). En caso de tener un nivel de
agua menor al mínimo (S1 =0 y S0 =0), entonces el caudal de salida debe estar cerrado (B=0).
• Recuerde que no es posible que el sensor de nivel máximo (S1) esté detectando agua mientras que
el sensor de nivel mínimo (S0) no la detecta (S1=1 y S0=0)
Realizar los siguientes desarrollos:
a) Completar la siguiente Tabla de Verdad (10p)
b) Utilizando mapas de Karnaugh obtener la expresión booleana minimizada de las salidas A y B (10p)
c) Utilizando puertas nand de dos entradas hacer el circuito resultante de las salidas A y B (10p)
S0 S1 A B
0 0
0 1
1 0
1 1
Resolución:
a)
S0 (min) S1 (max) A B
0 0 1 0
0 1 Φ Φ
1 0 1 1
1 1 0 1
b)

Más contenido relacionado

La actualidad más candente

Digital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 unitsDigital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 units
Lekashri Subramanian
 

La actualidad más candente (20)

Dpsd lecture-notes
Dpsd lecture-notesDpsd lecture-notes
Dpsd lecture-notes
 
Digital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 unitsDigital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 units
 
Lec11 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- De...
Lec11 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- De...Lec11 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- De...
Lec11 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- De...
 
Digital logic circuit
Digital logic circuitDigital logic circuit
Digital logic circuit
 
Unit 4 dica
Unit 4 dicaUnit 4 dica
Unit 4 dica
 
Chapter 5The proessor status and the FLAGS registers
Chapter 5The proessor status and the FLAGS registersChapter 5The proessor status and the FLAGS registers
Chapter 5The proessor status and the FLAGS registers
 
Chapter 3: Simplification of Boolean Function
Chapter 3: Simplification of Boolean FunctionChapter 3: Simplification of Boolean Function
Chapter 3: Simplification of Boolean Function
 
04 comb ex
04 comb ex04 comb ex
04 comb ex
 
Lec13 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Sh...
Lec13 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Sh...Lec13 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Sh...
Lec13 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Sh...
 
A109211002 switchingtheoryandlogicdesign1
A109211002 switchingtheoryandlogicdesign1A109211002 switchingtheoryandlogicdesign1
A109211002 switchingtheoryandlogicdesign1
 
Lec12 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Ad...
Lec12 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Ad...Lec12 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Ad...
Lec12 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Ad...
 
Admissions in india 2015
Admissions in india 2015Admissions in india 2015
Admissions in india 2015
 
Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter
 
Lec06-CS110 Computational Engineering
Lec06-CS110 Computational EngineeringLec06-CS110 Computational Engineering
Lec06-CS110 Computational Engineering
 
Digital Search Tree
Digital Search TreeDigital Search Tree
Digital Search Tree
 
Digital search tree
Digital search treeDigital search tree
Digital search tree
 
Free video lectures for mca
Free video lectures for mcaFree video lectures for mca
Free video lectures for mca
 
FYBSC IT Digital Electronics Unit III Chapter I Combinational Logic Circuits
FYBSC IT Digital Electronics Unit III Chapter I Combinational Logic CircuitsFYBSC IT Digital Electronics Unit III Chapter I Combinational Logic Circuits
FYBSC IT Digital Electronics Unit III Chapter I Combinational Logic Circuits
 
Logic gates
Logic gatesLogic gates
Logic gates
 
Logic Gates O level Past Papers questions
Logic Gates O level Past Papers questionsLogic Gates O level Past Papers questions
Logic Gates O level Past Papers questions
 

Similar a ⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)

Similar a ⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE) (20)

Sample quizz test
Sample quizz testSample quizz test
Sample quizz test
 
Combinational logic 1
Combinational logic 1Combinational logic 1
Combinational logic 1
 
Lecture 18 M - Copy.pptx
Lecture 18 M - Copy.pptxLecture 18 M - Copy.pptx
Lecture 18 M - Copy.pptx
 
important C questions and_answers praveensomesh
important C questions and_answers praveensomeshimportant C questions and_answers praveensomesh
important C questions and_answers praveensomesh
 
I semester Unit 4 combinational circuits.pptx
I semester Unit 4 combinational circuits.pptxI semester Unit 4 combinational circuits.pptx
I semester Unit 4 combinational circuits.pptx
 
5. Error Coding
5. Error Coding5. Error Coding
5. Error Coding
 
Oops Quiz
Oops QuizOops Quiz
Oops Quiz
 
Basic Theory (FE)
Basic Theory (FE)Basic Theory (FE)
Basic Theory (FE)
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
Linear programming problems
Linear programming problemsLinear programming problems
Linear programming problems
 
Digital logic
Digital logicDigital logic
Digital logic
 
Digital-Logic40124sequential circuits logic gatepptx
Digital-Logic40124sequential circuits logic gatepptxDigital-Logic40124sequential circuits logic gatepptx
Digital-Logic40124sequential circuits logic gatepptx
 
2dig circ
2dig circ2dig circ
2dig circ
 
Mcsl 17 ALP lab manual
Mcsl 17 ALP lab manualMcsl 17 ALP lab manual
Mcsl 17 ALP lab manual
 
15CS32 ADE Module 3
15CS32 ADE Module 315CS32 ADE Module 3
15CS32 ADE Module 3
 
Lec 02
Lec 02Lec 02
Lec 02
 
Midterm
MidtermMidterm
Midterm
 
9525.ppt
9525.ppt9525.ppt
9525.ppt
 
Digital VLSI - Unit 2.pptx
Digital VLSI - Unit 2.pptxDigital VLSI - Unit 2.pptx
Digital VLSI - Unit 2.pptx
 
3306565.ppt
3306565.ppt3306565.ppt
3306565.ppt
 

Más de Victor Asanza

⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
Victor Asanza
 

Más de Victor Asanza (20)

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 

Último

MSc Ag Genetics & Plant Breeding: Insights from Previous Year JNKVV Entrance ...
MSc Ag Genetics & Plant Breeding: Insights from Previous Year JNKVV Entrance ...MSc Ag Genetics & Plant Breeding: Insights from Previous Year JNKVV Entrance ...
MSc Ag Genetics & Plant Breeding: Insights from Previous Year JNKVV Entrance ...
Krashi Coaching
 
SURVEY I created for uni project research
SURVEY I created for uni project researchSURVEY I created for uni project research
SURVEY I created for uni project research
CaitlinCummins3
 
會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文
會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文
會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文
中 央社
 
會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽
會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽
會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽
中 央社
 

Último (20)

ĐỀ THAM KHẢO KÌ THI TUYỂN SINH VÀO LỚP 10 MÔN TIẾNG ANH FORM 50 CÂU TRẮC NGHI...
ĐỀ THAM KHẢO KÌ THI TUYỂN SINH VÀO LỚP 10 MÔN TIẾNG ANH FORM 50 CÂU TRẮC NGHI...ĐỀ THAM KHẢO KÌ THI TUYỂN SINH VÀO LỚP 10 MÔN TIẾNG ANH FORM 50 CÂU TRẮC NGHI...
ĐỀ THAM KHẢO KÌ THI TUYỂN SINH VÀO LỚP 10 MÔN TIẾNG ANH FORM 50 CÂU TRẮC NGHI...
 
24 ĐỀ THAM KHẢO KÌ THI TUYỂN SINH VÀO LỚP 10 MÔN TIẾNG ANH SỞ GIÁO DỤC HẢI DƯ...
24 ĐỀ THAM KHẢO KÌ THI TUYỂN SINH VÀO LỚP 10 MÔN TIẾNG ANH SỞ GIÁO DỤC HẢI DƯ...24 ĐỀ THAM KHẢO KÌ THI TUYỂN SINH VÀO LỚP 10 MÔN TIẾNG ANH SỞ GIÁO DỤC HẢI DƯ...
24 ĐỀ THAM KHẢO KÌ THI TUYỂN SINH VÀO LỚP 10 MÔN TIẾNG ANH SỞ GIÁO DỤC HẢI DƯ...
 
“O BEIJO” EM ARTE .
“O BEIJO” EM ARTE                       .“O BEIJO” EM ARTE                       .
“O BEIJO” EM ARTE .
 
Championnat de France de Tennis de table/
Championnat de France de Tennis de table/Championnat de France de Tennis de table/
Championnat de France de Tennis de table/
 
male presentation...pdf.................
male presentation...pdf.................male presentation...pdf.................
male presentation...pdf.................
 
MSc Ag Genetics & Plant Breeding: Insights from Previous Year JNKVV Entrance ...
MSc Ag Genetics & Plant Breeding: Insights from Previous Year JNKVV Entrance ...MSc Ag Genetics & Plant Breeding: Insights from Previous Year JNKVV Entrance ...
MSc Ag Genetics & Plant Breeding: Insights from Previous Year JNKVV Entrance ...
 
IPL Online Quiz by Pragya; Question Set.
IPL Online Quiz by Pragya; Question Set.IPL Online Quiz by Pragya; Question Set.
IPL Online Quiz by Pragya; Question Set.
 
SURVEY I created for uni project research
SURVEY I created for uni project researchSURVEY I created for uni project research
SURVEY I created for uni project research
 
會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文
會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文
會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文會考英文
 
UChicago CMSC 23320 - The Best Commit Messages of 2024
UChicago CMSC 23320 - The Best Commit Messages of 2024UChicago CMSC 23320 - The Best Commit Messages of 2024
UChicago CMSC 23320 - The Best Commit Messages of 2024
 
8 Tips for Effective Working Capital Management
8 Tips for Effective Working Capital Management8 Tips for Effective Working Capital Management
8 Tips for Effective Working Capital Management
 
diagnosting testing bsc 2nd sem.pptx....
diagnosting testing bsc 2nd sem.pptx....diagnosting testing bsc 2nd sem.pptx....
diagnosting testing bsc 2nd sem.pptx....
 
Đề tieng anh thpt 2024 danh cho cac ban hoc sinh
Đề tieng anh thpt 2024 danh cho cac ban hoc sinhĐề tieng anh thpt 2024 danh cho cac ban hoc sinh
Đề tieng anh thpt 2024 danh cho cac ban hoc sinh
 
Spring gala 2024 photo slideshow - Celebrating School-Community Partnerships
Spring gala 2024 photo slideshow - Celebrating School-Community PartnershipsSpring gala 2024 photo slideshow - Celebrating School-Community Partnerships
Spring gala 2024 photo slideshow - Celebrating School-Community Partnerships
 
philosophy and it's principles based on the life
philosophy and it's principles based on the lifephilosophy and it's principles based on the life
philosophy and it's principles based on the life
 
Andreas Schleicher presents at the launch of What does child empowerment mean...
Andreas Schleicher presents at the launch of What does child empowerment mean...Andreas Schleicher presents at the launch of What does child empowerment mean...
Andreas Schleicher presents at the launch of What does child empowerment mean...
 
Major project report on Tata Motors and its marketing strategies
Major project report on Tata Motors and its marketing strategiesMajor project report on Tata Motors and its marketing strategies
Major project report on Tata Motors and its marketing strategies
 
ANTI PARKISON DRUGS.pptx
ANTI         PARKISON          DRUGS.pptxANTI         PARKISON          DRUGS.pptx
ANTI PARKISON DRUGS.pptx
 
Graduate Outcomes Presentation Slides - English (v3).pptx
Graduate Outcomes Presentation Slides - English (v3).pptxGraduate Outcomes Presentation Slides - English (v3).pptx
Graduate Outcomes Presentation Slides - English (v3).pptx
 
會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽
會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽
會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽會考英聽
 

⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)

  • 1. vasanza SISTEMAS DIGITALES 1 EXAMEN 1P Fecha: 2021/04/01 PAE 2021-2022 Nombre: _________________________________________ Paralelo: __________ Criterios con los que se calificará este examen: • Las preguntas de desarrollo solo obtendrán la máxima calificación si su respuesta presenta un desarrollo a mano, claro, correcto, con sus respectivos nombres en cada hoja y haciendo uso de los criterios vistos en clase002E • La pregunta cuya opción múltiple esté correctamente seleccionada y además se presente el respectivo desarrollo para llegar a la respuesta correcta (utilizando los conceptos vistos en clase), será la pregunta que obtendrá la máxima calificación. • Si la pregunta tiene seleccionada correctamente la opción múltiple y NO presenta el desarrollo o con un desarrollo INCORRECTO, tendrá una calificación de CERO. • EL estudiante deberá subir el desarrollo de la evaluación como carga de archivo. Solo en caso de presentar problemas al momento de cargar su desarrollo, se permitirá enviarlo por email (vasanza@espol.edu.ec) y será considerado en la calificación si y solo si es enviado durante el tiempo que dura la evaluación. Recomendación: • Además, se sugiere enviar el desarrollo de la evaluación via correo electrónico, como respaldo (Durante el tiempo que dure la evaluación). Problema #1 (30%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente imagen: El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada, es descrito con el siguiente código VHDL:
  • 2. vasanza Realizar los siguientes desarrollos: a) Usando mapas de karnaught y agrupamiento de minterms, simplicar la expresión booleana al mínimo (15p). b) Utilizando puertas lógicas, graficar el circuito que represente a la ecuación simplificada en el literal anterior (15p). Resolución: a) b) Problema #2 (30%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente imagen: El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada, es descrito con el siguiente código VHDL:
  • 3. vasanza Realizar los siguientes desarrollos: a) Usando mapas de karnaught y agrupamiento de minterms, simplicar la expresión booleana al mínimo (15p). b) Utilizando puertas lógicas, graficar el circuito que represente a la ecuación simplificada en el literal anterior (15p). Resolución: a) b) Problema #3 (30%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente imagen: El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada, es descrito con el siguiente código VHDL:
  • 4. vasanza Realizar los siguientes desarrollos: a) Usando mapas de karnaught y agrupamiento de minterms, simplicar la expresión booleana al mínimo (15p). b) Utilizando puertas lógicas, graficar el circuito que represente a la ecuación simplificada en el literal anterior (15p). Resolución: a) b) Problema #4 (30%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente imagen: El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada, es descrito con el siguiente código VHDL:
  • 5. vasanza Realizar los siguientes desarrollos: a) Usando mapas de karnaught y agrupamiento de minterms, simplicar la expresión booleana al mínimo (15p). b) Utilizando puertas lógicas, graficar el circuito que represente a la ecuación simplificada en el literal anterior (15p). Resolución: a) b) Problema #5 (x%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente imagen: El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada es la siguiente: Para describir el comportamiento del sistema, se propone utilizar el siguiente código VHDL que está incompleto: Y(A,B,C,D) = ∑ (9,10,12,15) 𝑚
  • 6. vasanza Dadas las siguientes opciones, indicar cuál es la correcta asignación de señal para X0, X1, X2 y X3: a) with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others; b) with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others; c) with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others; d) with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others; e) with A&B&C&D select Y<= ‘1’ when “0100”|“0111” |“1000” |“1011”, ‘0’ when others; f) with A&B&C&D select Y<= ‘1’ when “0101”|“0110” |“1001” |“1010”, ‘0’ when others; Resolución: with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others; Problema #6 (x%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente imagen: El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada es la siguiente: Para describir el comportamiento del sistema, se propone utilizar el siguiente código VHDL que está incompleto: Y(A,B,C,D) = ∑ (8,11,13,14) 𝑚
  • 7. vasanza Dadas las siguientes opciones, indicar cuál es la correcta asignación de señal para X0, X1, X2 y X3: a) with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others; b) with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others; c) with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others; d) with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others; e) with A&B&C&D select Y<= ‘1’ when “0100”|“0111” |“1000” |“1011”, ‘0’ when others; f) with A&B&C&D select Y<= ‘1’ when “0101”|“0110” |“1001” |“1010”, ‘0’ when others; Resolución: with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others; Problema #7 (x%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente imagen: El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada es la siguiente: Para describir el comportamiento del sistema, se propone utilizar el siguiente código VHDL que está incompleto: Y(A,B,C,D) = ∑ (0,3,12,15) 𝑚
  • 8. vasanza Dadas las siguientes opciones, indicar cuál es la correcta asignación de señal para X0, X1, X2 y X3: a) with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others; b) with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others; c) with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others; d) with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others; e) with A&B&C&D select Y<= ‘1’ when “0100”|“0111” |“1000” |“1011”, ‘0’ when others; f) with A&B&C&D select Y<= ‘1’ when “0101”|“0110” |“1001” |“1010”, ‘0’ when others; Resolución: with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others; Problema #8 (x%). El siguiente es un Sistema Digital que tiene las señales ‘A’,’ B’, ‘C’ y ‘D’ como entradas de un bit; por otro lado, la señal ‘Y’ es una salida de un bit tal como se muestra en la siguiente imagen: El comportamiento de la señal de salida ‘Y’ en función de las señales de entrada es la siguiente: Para describir el comportamiento del sistema, se propone utilizar el siguiente código VHDL que está incompleto: Y(A,B,C,D) = ∑ (1,2,13,14) 𝑚
  • 9. vasanza Dadas las siguientes opciones, indicar cuál es la correcta asignación de señal para X0, X1, X2 y X3: a) with A&B&C&D select Y<= ‘1’ when “1100”|“1111” |“1001” |“1010”, ‘0’ when others; b) with A&B&C&D select Y<= ‘1’ when “1101”|“1110” |“1000” |“1011”, ‘0’ when others; c) with A&B&C&D select Y<= ‘1’ when “0000”|“0011” |“1100” |“1111”, ‘0’ when others; d) with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others; e) with A&B&C&D select Y<= ‘1’ when “0100”|“0111” |“1000” |“1011”, ‘0’ when others; f) with A&B&C&D select Y<= ‘1’ when “0101”|“0110” |“1001” |“1010”, ‘0’ when others; Resolución: with A&B&C&D select Y<= ‘1’ when “0001”|“0010” |“1101” |“1110”, ‘0’ when others; Problema #9: (x%) Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar, reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar cuál de las siguientes opciones es la correcta: F = (𝐴 + 𝐵 + 𝐶 + 𝐷)(𝐴 + 𝐵 + 𝐶̅ + 𝐷)(𝐴 + 𝐵 ̅ + 𝐶 + 𝐷)(𝐴 + 𝐵 ̅ + 𝐶̅ + 𝐷) a) 𝑨 + 𝑫 b) 𝑨 ̅ + 𝑫 c) 𝑨 + 𝑫 ̅ d) 𝑨 ̅ + 𝑫 ̅ Resolución:
  • 10. vasanza Problema #10: (x%) Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar, reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar cuál de las siguientes opciones es la correcta: F = (𝐴̅ + 𝐵 ̅ + 𝐶 + 𝐷)(𝐴̅ + 𝐵 ̅ + 𝐶̅ + 𝐷)(𝐴̅ + 𝐵 + 𝐶 + 𝐷)(𝐴̅ + 𝐵 + 𝐶̅ + 𝐷) a) 𝑨 + 𝑫 b) 𝑨 ̅ + 𝑫 c) 𝑨 + 𝑫 ̅ d) 𝑨 ̅ + 𝑫 ̅ Resolución: Problema #11: (x%) Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar, reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar cuál de las siguientes opciones es la correcta: F = (𝐴̅ + 𝐵 ̅ + 𝐶 + 𝐷 ̅)(𝐴̅ + 𝐵 ̅ + 𝐶̅ + 𝐷 ̅)(𝐴̅ + 𝐵 + 𝐶 + 𝐷 ̅)(𝐴̅ + 𝐵 + 𝐶̅ + 𝐷 ̅) a) 𝑨 + 𝑫 b) 𝑨 ̅ + 𝑫 c) 𝑨 + 𝑫 ̅ d) 𝑨 ̅ + 𝑫 ̅ Resolución:
  • 11. vasanza Problema #12: (x%) Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar, reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar cuál de las siguientes opciones es la correcta: F = (𝐴 + 𝐵 + 𝐶 + 𝐷 ̅)(𝐴 + 𝐵 + 𝐶̅ + 𝐷 ̅)(𝐴 + 𝐵 ̅ + 𝐶 + 𝐷 ̅)(𝐴 + 𝐵 ̅ + 𝐶̅ + 𝐷 ̅) a) 𝑨 + 𝑫 b) 𝑨 ̅ + 𝑫 c) 𝑨 + 𝑫 ̅ d) 𝑨 ̅ + 𝑫 ̅ Resolución: Problema #13: (x%) Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar, reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar cuál de las siguientes opciones es la correcta: F = (𝐴 + 𝐵 + 𝐶 + 𝐷)(𝐴 + 𝐵 + 𝐶 + 𝐷 ̅)(𝐴̅ + 𝐵 + 𝐶 + 𝐷)(𝐴̅ + 𝐵 + 𝐶 + 𝐷 ̅) a) 𝑪 + 𝑩 b) 𝑪 ̅ + 𝑩 c) 𝑪 + 𝑩 ̅ d) 𝑪 ̅ + 𝑩 ̅ Resolución:
  • 12. vasanza Problema #14: (x%) Dado la siguiente expresión booleana que define el comportamiento de la señal de salida F sin minimizar, reducir dicha expresión usando mapas de Karnaugh (A, B, C, D) agrupando unos. Luego, seleccionar cuál de las siguientes opciones es la correcta: F = (𝐴 + 𝐵 + 𝐶̅ + 𝐷 ̅)(𝐴 + 𝐵 + 𝐶̅ + 𝐷)(𝐴̅ + 𝐵 + 𝐶̅ + 𝐷 ̅)(𝐴̅ + 𝐵 + 𝐶̅ + 𝐷) a) 𝑪 + 𝑩 b) 𝑪 ̅ + 𝑩 c) 𝑪 + 𝑩 ̅ d) 𝑪 ̅ + 𝑩 ̅ Resolución: Problema #15: (30%) Se desea diseñar un Sistemas Digital que capaz de controlar dos actuadores tipo bomba (A y B) en función del nivel de agua presente en un tanque. Este nivel de agua se monitorea con dos sensores (S0 y S1). El Sistemas Digital se muestra en la siguiente gráfica:
  • 13. vasanza El funcionamiento del sistema digital se detalla a continuación: • El caudal de entrada de agua se abre (A=1) o se cierra (A=0) con el ánimo de controlar el nivel del agua presente en el tanque. Si el nivel del agua es el Mínimo (S1 = 0 y S0 = 1) o menor al mínimo (S1=0 y S0=0) entonces el actuador tipo bomba (A) debe ser abierto (A = 1); por otro lado, si el nivel del agua es el Máximo (S1 = 1 y S0 = 1) entonces el actuador tipo bomba (A) debe ser cerrado (A = 0). • El caudal de salida debe estar abierto (B=1) siempre y cuando el tanque de agua tenga un nivel de agua entre el máximo y el mínimo (S1 =0 y S0 =1) o (S1 =1 y S0 =1). En caso de tener un nivel de agua menor al mínimo (S1 =0 y S0 =0), entonces el caudal de salida debe estar cerrado (B=0). • Recuerde que no es posible que el sensor de nivel máximo (S1) esté detectando agua mientras que el sensor de nivel mínimo (S0) no la detecta (S1=1 y S0=0) Realizar los siguientes desarrollos: a) Completar la siguiente Tabla de Verdad (10p) b) Utilizando mapas de Karnaugh obtener la expresión booleana minimizada de las salidas A y B (10p) c) Utilizando puertas nand de dos entradas hacer el circuito resultante de las salidas A y B (10p) S0 S1 A B 0 0 0 1 1 0 1 1 Resolución: a) S0 (min) S1 (max) A B 0 0 1 0 0 1 Φ Φ 1 0 1 1 1 1 0 1 b)