SlideShare una empresa de Scribd logo
1 de 19
Descargar para leer sin conexión
HW Emulators:
Does it belong in your
Verification tool chest?
DV Club, May 23rd, 2007
Jai Kumar
Verification Technologist
Sun Microsystems Inc.
jai.kumar@sun.com
http://sun.com
Slide 2
Verification Challenges
• Large SoCs -
> Multi-core, multi-thread every where
> Complex IOs: ENET, PCIE, etc.
> Verification state-space explosion
• Fierce Competition -
> Time-to-Market
> Cost
• Tools not keeping the pace with requirements -
> Capacity
> Performance
Slide 3Jai KumarDV Club
UltraSPARC T1 Processor
• A true revolutionary processor
• Up to eight 4-way multithreaded
cores for up to 32 simultaneous
threads
• All cores connected through a
134.4GB/s crossbar switch
• High-bandwidth 12-way
associative
• 4 DDR2 channels (23GB/s)
• Power : 63W @1.2GHz, 1.2v
• ~300M transistors
• 378 sq. mm die
• Verification covered in earlier DV
Club presentation
Slide 4Jai KumarDV Club
UltraSPARC T2 Processor
• True SoC
• 8 SPARC Cores, 8
threads each
• Shared 4MB L2 8-
banks, 16-way
associative
• 4 dual-channel
FBDIMM memory
controllers
• 2 1/10 Gb ENET ports
w/ onboard packet
classification and
filtering
• 1 PCIE x8 1.0 port
Slide 5
What is an Accelerator/Emulator?
• Emulation- synonomous with HW Acceleration;
subtle difference – involves target system hw
• High-level proto-typing system – virtual silicon
• RTL is synthesized to gates; gates are mapped to
FPGA or custom-processor based HW to execute
design in parallel at hw speed
• Ease-of-use – make it appear as a fast
“simulator” - more than 10,000X faster than SW
Simulator
Slide 6Jai KumarDV Club
Convince it is the right thing to do...
Gulfstream jetEmulator HW
Slide 7Jai KumarDV Club
Cost of Bugs – simple analysis
• Showstopper bug early in design phase
> Cost: almost negligible
• Showstopper bug close to tapeout
> Cost: schedule impact
• Showstopper bug after tapeout
> Cost: Few Silicon respins, schedule impact
• Showstopper bug close at Revenue Release
> Loss of Revenue at $1+ Million per day
> Reduce competitive edge
• Showstopper bug 1year after Revenue Release
> Cost of recall at $150Million
> Damages corporate reputation
Getting SW/HW right the first time is critical to survival!
Source: N.Winkworth & B.Blohm
Slide 8Jai KumarDV Club
When you put everything together
and your simulator comes to a
crawl....
Who do you call?
EmulatorsEmulators
Slide 9
Design Size Impact on Sim Speed
0 20 40 60 80 100 120 140 160
1
10
100
1000
10000
100000
1000000
SW Sim
Acceleration
Emulation
Design Size (M gates)
Performance(cycles/sec)
Slide 10Jai KumarDV Club
When you need to run millions of
cycles to catch those nasty deep
corner case bugs....
Who do you call?
EmulatorsEmulators
Slide 11Jai KumarDV Club
Simplified Bug Find Rate
1 2 3 4 5 6 7 8 9 10 11 12 13
0
10
20
30
40
50
60
70
80
90
Bug Rate
M cycles
Time
BugRate-SimulationCycles
Slide 12Jai KumarDV Club
When you need to boot firmware,
and Solaris....
Who do you call?
EmulatorsEmulators
Simulation - ~27years
Emulation - 8.5hours
Slide 13Jai KumarDV Club
When you need to run real world
PCIE, ENET IO traffic on your
SoCs....
Who do you call?
EmulatorsEmulators
Slide 14Jai KumarDV Club
Emulation Resources – at a glance
DriveDriveWalkWalk RunRun FlyFly
Flexibility: Supported RTL, TestBench constructs
Solaris
Boot
TIme
26.7 Years 2.6 Years 2Days19Hrs 8 Hrs 30mins
Competitor XSW Simulator Xtreme Server Palladium2
Slide 15Jai KumarDV Club
Usage Model
DFT
Block-Level Full-Chip System Post-Silicon
TapeOut
SW Simulator (VCS/XSIM)
Verification Timeline
Tharas CoSim
Xtreme CoSim
Xtreme Targetless Emulation
Short, Directed Tests/ISS Compared
Long, Random Tests/Self Checking
Firmware/
SW Stack
Palladium Targetless/ICE
IO Verif
(PCIE/
ENET)
Slide 16Jai KumarDV Club
Emulation Verification:
Get System HW/SW right the first time:
•Prevent Functional Bug escapes (reduce Silicon re-
spins - find bugs before tape-out)
•Facilitate early SW Development and System
Integration
•Aid Post-Silicon Debug & Fix Validation
Slide 17Jai KumarDV Club
Planning for Success
● Setup Emulation Environment early -
− Create technology-awareness within team early in design phase
− Decide Usage mode – co-sim, targetless, in-circuit emulation
− Minimize learning curve - integrate into existing simulation flow
− Not everything can be run on the emulator – prioritize & plan usage
● Reduce Time-to-Model Build -
− Apply RTL enforcement (use lint, emulator tools);
● Minimize Modeling Issues
− Array Modeling Methodology – abstract higher, make it “synthesizable”, race free
− Optimize for Capacity and Performance: Eliminate non-functional models, abstract
low-level details, minimize and align clocks
● Simplify Debug
− Implement critical-set of Monitors for acceleration
− Invest in debug tools to ease the debug complexity
− Do not use it as yet another simulator (minimize waveform dumps)
Maximize ROI.
Slide 18Jai KumarDV Club
HW Emulation Results
• Initial design bringup in co-simulation mode with ISS
• Target-less emulation for fastest throughput - trillions of cycles
• Ran really long directed tests
• Ran a number of self-checking random code generators to target
tests to specific functionality – some tests run for days
• Reset Sequence testing, RAS Testing, On-Chip Debug test
• PCIE & ENET Testing using Speed Bridges
• JTAG Scan Testing using In-Circuit Emulation
• Motherboard bringup and test using In-Circuit Emulation
• Facilitated readiness of silicon test/debug tools
• Post-Silicon RTL bug fix validation
Slide 19Jai KumarDV Club
Summary
• Exponential complexity with large SoCs
> multi-core,
> multi-threads,
> complex IOs
• HW Emulation is a must
> Traditional SW simulators alone are not enough
• Cost is justified in big scheme of product
development

Más contenido relacionado

Similar a HW Emulators: Does it Belong in your Verification Tool Chest?

Leveraging Low-Cost FPGA Prototyping for Validation of Highly Threaded Server...
Leveraging Low-Cost FPGA Prototyping for Validation of Highly Threaded Server...Leveraging Low-Cost FPGA Prototyping for Validation of Highly Threaded Server...
Leveraging Low-Cost FPGA Prototyping for Validation of Highly Threaded Server...
DVClub
 
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Preeya Selvarajah
 
39245147 intro-es-i
39245147 intro-es-i39245147 intro-es-i
39245147 intro-es-i
Embeddedbvp
 
Energy efficient AI workload partitioning on multi-core systems
Energy efficient AI workload partitioning on multi-core systemsEnergy efficient AI workload partitioning on multi-core systems
Energy efficient AI workload partitioning on multi-core systems
Deepak Shankar
 
Architecture for Massively Parallel HDL Simulations
Architecture for Massively Parallel HDL Simulations Architecture for Massively Parallel HDL Simulations
Architecture for Massively Parallel HDL Simulations
DVClub
 

Similar a HW Emulators: Does it Belong in your Verification Tool Chest? (20)

Leveraging Low-Cost FPGA Prototyping for Validation of Highly Threaded Server...
Leveraging Low-Cost FPGA Prototyping for Validation of Highly Threaded Server...Leveraging Low-Cost FPGA Prototyping for Validation of Highly Threaded Server...
Leveraging Low-Cost FPGA Prototyping for Validation of Highly Threaded Server...
 
Kumar sv07
Kumar sv07Kumar sv07
Kumar sv07
 
Introduction to architecture exploration
Introduction to architecture explorationIntroduction to architecture exploration
Introduction to architecture exploration
 
Early Software Development through Palladium Emulation
Early Software Development through Palladium EmulationEarly Software Development through Palladium Emulation
Early Software Development through Palladium Emulation
 
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
 
The Diabolical Developers Guide to Performance Tuning
The Diabolical Developers Guide to Performance TuningThe Diabolical Developers Guide to Performance Tuning
The Diabolical Developers Guide to Performance Tuning
 
39245147 intro-es-i
39245147 intro-es-i39245147 intro-es-i
39245147 intro-es-i
 
ELC-E 2010: The Right Approach to Minimal Boot Times
ELC-E 2010: The Right Approach to Minimal Boot TimesELC-E 2010: The Right Approach to Minimal Boot Times
ELC-E 2010: The Right Approach to Minimal Boot Times
 
byteLAKE's CFD Suite (AI-accelerated CFD) (2024-02)
byteLAKE's CFD Suite (AI-accelerated CFD) (2024-02)byteLAKE's CFD Suite (AI-accelerated CFD) (2024-02)
byteLAKE's CFD Suite (AI-accelerated CFD) (2024-02)
 
Sista: Improving Cog’s JIT performance
Sista: Improving Cog’s JIT performanceSista: Improving Cog’s JIT performance
Sista: Improving Cog’s JIT performance
 
Energy efficient AI workload partitioning on multi-core systems
Energy efficient AI workload partitioning on multi-core systemsEnergy efficient AI workload partitioning on multi-core systems
Energy efficient AI workload partitioning on multi-core systems
 
Performance Tuning Oracle Weblogic Server 12c
Performance Tuning Oracle Weblogic Server 12cPerformance Tuning Oracle Weblogic Server 12c
Performance Tuning Oracle Weblogic Server 12c
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 
Embedded System-design technology
Embedded System-design technologyEmbedded System-design technology
Embedded System-design technology
 
Real-Time Simulation for Design of New Nuclear Plants
Real-Time Simulation for Design of New Nuclear PlantsReal-Time Simulation for Design of New Nuclear Plants
Real-Time Simulation for Design of New Nuclear Plants
 
L1_Introduction.ppt
L1_Introduction.pptL1_Introduction.ppt
L1_Introduction.ppt
 
Industrial Training|Summer Training|Embedded Systems|Final Year Project|B tec...
Industrial Training|Summer Training|Embedded Systems|Final Year Project|B tec...Industrial Training|Summer Training|Embedded Systems|Final Year Project|B tec...
Industrial Training|Summer Training|Embedded Systems|Final Year Project|B tec...
 
Honorable Squires
Honorable SquiresHonorable Squires
Honorable Squires
 
Architecture for Massively Parallel HDL Simulations
Architecture for Massively Parallel HDL Simulations Architecture for Massively Parallel HDL Simulations
Architecture for Massively Parallel HDL Simulations
 
Hangman for the Masses Showcase of Web Tech
Hangman for the Masses Showcase of Web TechHangman for the Masses Showcase of Web Tech
Hangman for the Masses Showcase of Web Tech
 

Más de DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
DVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
DVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
DVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
DVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
DVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
DVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
DVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
DVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
DVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
DVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
DVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
DVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
DVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
DVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
DVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
DVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
DVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
DVClub
 

Más de DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 

Último

Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 

Último (20)

Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
CNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In PakistanCNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In Pakistan
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
Exploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusExploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with Milvus
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 

HW Emulators: Does it Belong in your Verification Tool Chest?

  • 1. HW Emulators: Does it belong in your Verification tool chest? DV Club, May 23rd, 2007 Jai Kumar Verification Technologist Sun Microsystems Inc. jai.kumar@sun.com http://sun.com
  • 2. Slide 2 Verification Challenges • Large SoCs - > Multi-core, multi-thread every where > Complex IOs: ENET, PCIE, etc. > Verification state-space explosion • Fierce Competition - > Time-to-Market > Cost • Tools not keeping the pace with requirements - > Capacity > Performance
  • 3. Slide 3Jai KumarDV Club UltraSPARC T1 Processor • A true revolutionary processor • Up to eight 4-way multithreaded cores for up to 32 simultaneous threads • All cores connected through a 134.4GB/s crossbar switch • High-bandwidth 12-way associative • 4 DDR2 channels (23GB/s) • Power : 63W @1.2GHz, 1.2v • ~300M transistors • 378 sq. mm die • Verification covered in earlier DV Club presentation
  • 4. Slide 4Jai KumarDV Club UltraSPARC T2 Processor • True SoC • 8 SPARC Cores, 8 threads each • Shared 4MB L2 8- banks, 16-way associative • 4 dual-channel FBDIMM memory controllers • 2 1/10 Gb ENET ports w/ onboard packet classification and filtering • 1 PCIE x8 1.0 port
  • 5. Slide 5 What is an Accelerator/Emulator? • Emulation- synonomous with HW Acceleration; subtle difference – involves target system hw • High-level proto-typing system – virtual silicon • RTL is synthesized to gates; gates are mapped to FPGA or custom-processor based HW to execute design in parallel at hw speed • Ease-of-use – make it appear as a fast “simulator” - more than 10,000X faster than SW Simulator
  • 6. Slide 6Jai KumarDV Club Convince it is the right thing to do... Gulfstream jetEmulator HW
  • 7. Slide 7Jai KumarDV Club Cost of Bugs – simple analysis • Showstopper bug early in design phase > Cost: almost negligible • Showstopper bug close to tapeout > Cost: schedule impact • Showstopper bug after tapeout > Cost: Few Silicon respins, schedule impact • Showstopper bug close at Revenue Release > Loss of Revenue at $1+ Million per day > Reduce competitive edge • Showstopper bug 1year after Revenue Release > Cost of recall at $150Million > Damages corporate reputation Getting SW/HW right the first time is critical to survival! Source: N.Winkworth & B.Blohm
  • 8. Slide 8Jai KumarDV Club When you put everything together and your simulator comes to a crawl.... Who do you call? EmulatorsEmulators
  • 9. Slide 9 Design Size Impact on Sim Speed 0 20 40 60 80 100 120 140 160 1 10 100 1000 10000 100000 1000000 SW Sim Acceleration Emulation Design Size (M gates) Performance(cycles/sec)
  • 10. Slide 10Jai KumarDV Club When you need to run millions of cycles to catch those nasty deep corner case bugs.... Who do you call? EmulatorsEmulators
  • 11. Slide 11Jai KumarDV Club Simplified Bug Find Rate 1 2 3 4 5 6 7 8 9 10 11 12 13 0 10 20 30 40 50 60 70 80 90 Bug Rate M cycles Time BugRate-SimulationCycles
  • 12. Slide 12Jai KumarDV Club When you need to boot firmware, and Solaris.... Who do you call? EmulatorsEmulators Simulation - ~27years Emulation - 8.5hours
  • 13. Slide 13Jai KumarDV Club When you need to run real world PCIE, ENET IO traffic on your SoCs.... Who do you call? EmulatorsEmulators
  • 14. Slide 14Jai KumarDV Club Emulation Resources – at a glance DriveDriveWalkWalk RunRun FlyFly Flexibility: Supported RTL, TestBench constructs Solaris Boot TIme 26.7 Years 2.6 Years 2Days19Hrs 8 Hrs 30mins Competitor XSW Simulator Xtreme Server Palladium2
  • 15. Slide 15Jai KumarDV Club Usage Model DFT Block-Level Full-Chip System Post-Silicon TapeOut SW Simulator (VCS/XSIM) Verification Timeline Tharas CoSim Xtreme CoSim Xtreme Targetless Emulation Short, Directed Tests/ISS Compared Long, Random Tests/Self Checking Firmware/ SW Stack Palladium Targetless/ICE IO Verif (PCIE/ ENET)
  • 16. Slide 16Jai KumarDV Club Emulation Verification: Get System HW/SW right the first time: •Prevent Functional Bug escapes (reduce Silicon re- spins - find bugs before tape-out) •Facilitate early SW Development and System Integration •Aid Post-Silicon Debug & Fix Validation
  • 17. Slide 17Jai KumarDV Club Planning for Success ● Setup Emulation Environment early - − Create technology-awareness within team early in design phase − Decide Usage mode – co-sim, targetless, in-circuit emulation − Minimize learning curve - integrate into existing simulation flow − Not everything can be run on the emulator – prioritize & plan usage ● Reduce Time-to-Model Build - − Apply RTL enforcement (use lint, emulator tools); ● Minimize Modeling Issues − Array Modeling Methodology – abstract higher, make it “synthesizable”, race free − Optimize for Capacity and Performance: Eliminate non-functional models, abstract low-level details, minimize and align clocks ● Simplify Debug − Implement critical-set of Monitors for acceleration − Invest in debug tools to ease the debug complexity − Do not use it as yet another simulator (minimize waveform dumps) Maximize ROI.
  • 18. Slide 18Jai KumarDV Club HW Emulation Results • Initial design bringup in co-simulation mode with ISS • Target-less emulation for fastest throughput - trillions of cycles • Ran really long directed tests • Ran a number of self-checking random code generators to target tests to specific functionality – some tests run for days • Reset Sequence testing, RAS Testing, On-Chip Debug test • PCIE & ENET Testing using Speed Bridges • JTAG Scan Testing using In-Circuit Emulation • Motherboard bringup and test using In-Circuit Emulation • Facilitated readiness of silicon test/debug tools • Post-Silicon RTL bug fix validation
  • 19. Slide 19Jai KumarDV Club Summary • Exponential complexity with large SoCs > multi-core, > multi-threads, > complex IOs • HW Emulation is a must > Traditional SW simulators alone are not enough • Cost is justified in big scheme of product development