SlideShare una empresa de Scribd logo
1 de 17
Seminar Placement and Routing options in Full Custom Shankardas Deepti Bharath CGB0911002 VSD528  M. Sc. [Engg.] in VLSI System Design Module Title: Full Custom Physical Design Module Leader:  Mr. Chandramohan P.
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Introduction Floor planning CTS Physical Design Partitioning Routing Placement Specification Architectural design  Circuit design  Physical design  Test/Fabrication Logic design
Full-Custom Design Methodology ,[object Object],[object Object],[object Object],[object Object],[object Object]
Why Is Placement and Routing Important? ,[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Types of placement techniques in full custom design
(a) Diffusion sharing (b) Gate matrix layout (c) Tapering technique (f) Common centriod (e) Interdigitization (d) Fingering Analog custom design Mixed custom design Digital custom design Placement in Custom Design Figure 1. Placement techniques in custom design
After Placement Macros Standard Cells IO Pads Corner Cells VDD rails VSS rails Power & ground straps Figure 2 Chip level placement
Routing ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],Global Route Track Assign Detail Route Search & Repair Figure 3 Global Routing Routing options
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Global Route Track Assign Detail Route Search & Repair Figure 4 Track Assignment Routing options
[object Object],[object Object],[object Object],[object Object],Global Route Track Assign Detail Route Search & Repair Figure 5 Detail Routing Routing options
[object Object],[object Object],[object Object],[object Object],[object Object],Global Route Track Assign Detail Route Search & Repair Routing options  Figure 6 Search and Repair
After Routing Figure 7 Block level routing Figure 8 Magnified portion of  the block
Summary ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
References [1] Jon Wateresian (2002)  Fabricating Printed Circuit Boards. Massachusetts: Newnes [2]  Linfu Xiao, et al. ,  ‘ Practical Placement and Routing Techniques for Analog Circuit Designs’ ,  IEEE,  Dept. of CSE, Chinese Univ. of Hong Kong, Shatin, China, Dec 2010. [3] Chandramohan P., Digital circuit design and layout, Full custom physical design (VSD 528),  session-2 MSRSAS, Bangalore [4] Shawki Areibi and Zhen Yang (2003),  ‘Congestion Driven Placement for VLSI Standard Cell, Design’  , School of Engineering, University of Guelph, Ontario, Canada, Dec 2003.
Thank You

Más contenido relacionado

La actualidad más candente

Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placementshaik sharief
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notesDr.YNM
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI SYSTEM Design
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messagesMujahid Mohammed
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devicesAshu0711
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layoutE ER Yash nagaria
 

La actualidad más candente (20)

Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placement
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
STA vs DTA.pptx
STA vs DTA.pptxSTA vs DTA.pptx
STA vs DTA.pptx
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layout
 

Similar a Placement and routing in full custom physical design

ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Noise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelNoise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelIJMER
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYIlango Jeyasubramanian
 
Lect2 up010 (100324)
Lect2 up010 (100324)Lect2 up010 (100324)
Lect2 up010 (100324)aicdesign
 
SEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designSEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designShaelMalik
 
58979380-3d-ics-Seminar-Report-08 (1).pdf
58979380-3d-ics-Seminar-Report-08 (1).pdf58979380-3d-ics-Seminar-Report-08 (1).pdf
58979380-3d-ics-Seminar-Report-08 (1).pdfYogeshAM4
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyIJRES Journal
 
lect5_Stick_diagram_layout_rules
lect5_Stick_diagram_layout_ruleslect5_Stick_diagram_layout_rules
lect5_Stick_diagram_layout_rulesvein
 
3d i cs_full_seminar_report
3d i cs_full_seminar_report3d i cs_full_seminar_report
3d i cs_full_seminar_reportsaitejarevathi
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project reportBharat Biyani
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical designMurali Rai
 
Three-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfThree-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfYogeshAM4
 
Three-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfThree-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfYogeshAM4
 

Similar a Placement and routing in full custom physical design (20)

ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Full IC Flow.docx
Full IC Flow.docxFull IC Flow.docx
Full IC Flow.docx
 
Noise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelNoise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc Model
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
3d ic
3d ic3d ic
3d ic
 
Lect2 up010 (100324)
Lect2 up010 (100324)Lect2 up010 (100324)
Lect2 up010 (100324)
 
SEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designSEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit design
 
58979380-3d-ics-Seminar-Report-08 (1).pdf
58979380-3d-ics-Seminar-Report-08 (1).pdf58979380-3d-ics-Seminar-Report-08 (1).pdf
58979380-3d-ics-Seminar-Report-08 (1).pdf
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A survey
 
lect5_Stick_diagram_layout_rules
lect5_Stick_diagram_layout_ruleslect5_Stick_diagram_layout_rules
lect5_Stick_diagram_layout_rules
 
3d i cs_full_seminar_report
3d i cs_full_seminar_report3d i cs_full_seminar_report
3d i cs_full_seminar_report
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
 
3D ic the new edge of electronics
3D ic the new edge of electronics3D ic the new edge of electronics
3D ic the new edge of electronics
 
3 d ic
3 d ic3 d ic
3 d ic
 
Design of fault tolerant algorithm for network on chip router using field pr...
Design of fault tolerant algorithm for network on chip router  using field pr...Design of fault tolerant algorithm for network on chip router  using field pr...
Design of fault tolerant algorithm for network on chip router using field pr...
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
 
Three-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfThree-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdf
 
Three-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfThree-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdf
 

Más de Deiptii Das

Organic transistors
Organic transistorsOrganic transistors
Organic transistorsDeiptii Das
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage ReferenceDeiptii Das
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significanceDeiptii Das
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical designDeiptii Das
 
Topograhical synthesis
Topograhical synthesis   Topograhical synthesis
Topograhical synthesis Deiptii Das
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor propertiesDeiptii Das
 

Más de Deiptii Das (8)

Organic transistors
Organic transistorsOrganic transistors
Organic transistors
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage Reference
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significance
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
 
Topograhical synthesis
Topograhical synthesis   Topograhical synthesis
Topograhical synthesis
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Hard ips pdf
Hard ips pdfHard ips pdf
Hard ips pdf
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
 

Último

fourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingfourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingTeacherCyreneCayanan
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsTechSoup
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpinRaunakKeshri1
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhikauryashika82
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactPECB
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationnomboosow
 
9548086042 for call girls in Indira Nagar with room service
9548086042  for call girls in Indira Nagar  with room service9548086042  for call girls in Indira Nagar  with room service
9548086042 for call girls in Indira Nagar with room servicediscovermytutordmt
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...fonyou31
 
Class 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfClass 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfAyushMahapatra5
 
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...christianmathematics
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfciinovamais
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdfSoniaTolstoy
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAssociation for Project Management
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
 
Disha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfDisha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfchloefrazer622
 

Último (20)

fourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingfourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writing
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptxINDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
 
Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpin
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
9548086042 for call girls in Indira Nagar with room service
9548086042  for call girls in Indira Nagar  with room service9548086042  for call girls in Indira Nagar  with room service
9548086042 for call girls in Indira Nagar with room service
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
 
Class 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfClass 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdf
 
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across Sectors
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
 
Disha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfDisha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdf
 

Placement and routing in full custom physical design

  • 1. Seminar Placement and Routing options in Full Custom Shankardas Deepti Bharath CGB0911002 VSD528 M. Sc. [Engg.] in VLSI System Design Module Title: Full Custom Physical Design Module Leader: Mr. Chandramohan P.
  • 2.
  • 3. Introduction Floor planning CTS Physical Design Partitioning Routing Placement Specification Architectural design Circuit design Physical design Test/Fabrication Logic design
  • 4.
  • 5.
  • 6.
  • 7. (a) Diffusion sharing (b) Gate matrix layout (c) Tapering technique (f) Common centriod (e) Interdigitization (d) Fingering Analog custom design Mixed custom design Digital custom design Placement in Custom Design Figure 1. Placement techniques in custom design
  • 8. After Placement Macros Standard Cells IO Pads Corner Cells VDD rails VSS rails Power & ground straps Figure 2 Chip level placement
  • 9.
  • 10.
  • 11.
  • 12.
  • 13.
  • 14. After Routing Figure 7 Block level routing Figure 8 Magnified portion of the block
  • 15.
  • 16. References [1] Jon Wateresian (2002) Fabricating Printed Circuit Boards. Massachusetts: Newnes [2] Linfu Xiao, et al. , ‘ Practical Placement and Routing Techniques for Analog Circuit Designs’ , IEEE, Dept. of CSE, Chinese Univ. of Hong Kong, Shatin, China, Dec 2010. [3] Chandramohan P., Digital circuit design and layout, Full custom physical design (VSD 528), session-2 MSRSAS, Bangalore [4] Shawki Areibi and Zhen Yang (2003), ‘Congestion Driven Placement for VLSI Standard Cell, Design’ , School of Engineering, University of Guelph, Ontario, Canada, Dec 2003.

Notas del editor

  1. 1.Metal routes must meet minimum width and spacing “design rules” to prevent open and short circuits during fabrication. 2. Congestion can be reduced by adding blockages during floor planning. When a blockage is placed the router, routes around the blockage thereby reducing congestion.
  2. Detour – Routing takes a longer route instead of a shorter one. In GR no PHYSICAL connections are made only nets are assigned to specific metal layers.
  3. If TA can reduce the number of jogs and jumps in metal traces, this will generally improve timing (since each jump generally requires a via to jump to a higher or lower level metal layer). Reducing the number of vias is generally a plus for reliability and yield since their failure rate is slightly higher than that of a simple, straight metal track in a modern, planarized process.
  4. The detail route doesn’t work on the entire chip at a time but instead works, box by box (using a fixed size box called Sbox) until the routing pass is complete.
  5. Search and Repair divides the chip into SBoxes and works through each SBox sequentially trying to fix DRC violations by rerouting within the confines of the box. Droute – Detail Route…Sbox – Square Box.